Next Article in Journal
Correction: Shen, X. et al. Research on the Disc Sensitive Structure of a Micro Optoelectromechanical System (MOEMS) Resonator Gyroscope. Micromachines, 2019, 10, 264
Next Article in Special Issue
Development of Bioelectronic Devices Using Bionanohybrid Materials for Biocomputation System
Previous Article in Journal
TiO2 Based Nanostructures for Photocatalytic CO2 Conversion to Valuable Chemicals
Previous Article in Special Issue
Matrix Mapping on Crossbar Memory Arrays with Resistive Interconnects and Its Use in In-Memory Compression of Biosignals
 
 
Font Type:
Arial Georgia Verdana
Font Size:
Aa Aa Aa
Line Spacing:
Column Width:
Background:
Review

Recent Progress in the Voltage-Controlled Magnetic Anisotropy Effect and the Challenges Faced in Developing Voltage-Torque MRAM

1
National Institute of Advanced Industrial Science and Technology (AIST), Spintronics Research Center, Tsukuba, Ibaraki 305-8568, Japan
2
The Institute of Solid State Physics, The University of Tokyo, Kashiwa, Chiba 277-8531, Japan
3
Graduate School of Engineering Science, Osaka University, Toyonaka, Osaka 560-8531, Japan
4
Research Institute of Electrical Communication, Tohoku University, Sendai, Miyagi 980-8577, Japan
*
Author to whom correspondence should be addressed.
Micromachines 2019, 10(5), 327; https://doi.org/10.3390/mi10050327
Submission received: 24 April 2019 / Revised: 10 May 2019 / Accepted: 12 May 2019 / Published: 15 May 2019

Abstract

:
The electron spin degree of freedom can provide the functionality of “nonvolatility” in electronic devices. For example, magnetoresistive random access memory (MRAM) is expected as an ideal nonvolatile working memory, with high speed response, high write endurance, and good compatibility with complementary metal-oxide-semiconductor (CMOS) technologies. However, a challenging technical issue is to reduce the operating power. With the present technology, an electrical current is required to control the direction and dynamics of the spin. This consumes high energy when compared with electric-field controlled devices, such as those that are used in the semiconductor industry. A novel approach to overcome this problem is to use the voltage-controlled magnetic anisotropy (VCMA) effect, which draws attention to the development of a new type of MRAM that is controlled by voltage (voltage-torque MRAM). This paper reviews recent progress in experimental demonstrations of the VCMA effect. First, we present an overview of the early experimental observations of the VCMA effect in all-solid state devices, and follow this with an introduction of the concept of the voltage-induced dynamic switching technique. Subsequently, we describe recent progress in understanding of physical origin of the VCMA effect. Finally, new materials research to realize a highly-efficient VCMA effect and the verification of reliable voltage-induced dynamic switching with a low write error rate are introduced, followed by a discussion of the technical challenges that will be encountered in the future development of voltage-torque MRAM.

1. Introduction

The evolving information society has triggered the rapid spread of advanced technologies, such as Artificial Intelligence (AI), Advanced Safety Vehicle (ASV), and IoT (Internet of Things), and this has led to further industrial innovation. In the society of the future, Big-Data collected from physical space will be stored and analyzed in cyber space, which creates new social values. Such a data-driven society can only be sustained by the high-speed processing of Big-Data; therefore, reducing the power consumption of nano-electronic devices is becoming increasingly crucial. One promising approach is the introduction of nonvolatile computation.
It is expected that the stand-by power of future computing systems will be reduced by utilizing the nonvolatile features of spintronic devices, such as a magnetoresistive random-access memory (MRAM) while using magnetic tunnel junctions (MTJ). An MTJ consists of two ferromagnetic layers that are separated by an ultrathin insulating layer, such as magnesium oxide (MgO) [1,2]. Electrons can tunnel through the barrier when a bias voltage is applied between the two ferromagnetic layers due to the ultrathin thickness of the insulating layer. The amplitude of the tunneling current depends on the relative angle between the magnetizations in each ferromagnetic layer through a spin-dependent tunneling process, which is called the tunnel magnetoresistance (TMR) effect. The direction of the magnetizations of one of the ferromagnetic layers is fixed (reference layer), typically by exchange coupling with an antiferromagnetic material. An external field (free layer), using an electric-current, can control the direction in the other, as discussed below. In this way information is written to the memory device. Then, the information can be stored by controlling the magnetization configuration between parallel and anti-parallel states, exhibiting two resistance states, in a nonvolatile manner.
MRAM has great potential to be a fast, high write endurance, and CMOS-compatible nonvolatile memory, which is suitable for embedded as well as standalone memory applications. However, one of the significant remaining challenges is to reduce the energy that is needed to write information, that is, to switch the magnetization. In the long history of magnetism, magnetic fields that are produced by electric-current have been used for magnetization reversal. This indirect approach is inefficient and not scalable. Spintronics has brought us a new way of switching the magnetization through the s-d exchange interaction between the conduction electron spin and localized spin, called the spin-transfer torque (STT) effect [3,4,5,6,7,8]. The spin angular momentum that is carried by conduction electrons can be transferred to localized electrons and can induce magnetization reversal. Recently, an alternative technique for magnetization switching using the spin Hall effect, which is called the spin-orbit torque (SOT) switching [9,10,11,12], has also been attracting attention. A typical SOT device comprises a bilayer that consists of a non-magnetic heavy metal layer, such as Ta or W, and a ferromagnetic layer capped by an oxide. A transverse pure-spin current is generated when an in-plane electric-current is injected into the bilayer due to the spin Hall effect. The accumulation of spin at the heavy metal/ferromagnet interface exerts a torque and induces magnetization switching. In this switching scheme, high write endurance can be realized, even with high speed switching of the order of a few nanoseconds, because the read and write passes are separate.
STT-based switching (STT-MRAM) has brought a drastic reduction in writing energy and expanded potential for applications; STT-MRAM [13,14,15]. Figure 1 summarizes the reported writing energies for a MRAM (red dots) and STT-MRAM (blue dots) as a function of the MTJ cell size. For example, recent developments in STT-MRAMs have achieved writing energies of approximately 100 fJ/bit in perpendicularly magnetized MTJs [13], which is close to the writing energy for a dynamic-RAM (DRAM). However, it is still much higher than that of a static-RAM (SRAM), which is made up of several MOSFETs that an electric-field operates. Furthermore, a writing energy of 100 fJ/bit corresponds to 107 kBT (kB is the Boltzmann constant and T is the temperature, assumed to be 300 K). On the other hand, the energy that is required to maintain magnetic information, i.e. the thermal stability, is about 60 kBT (green line in Figure 1), which means that we have a large energy gap between data writing and retention, in the order of 105. This difference mainly comes from unwanted energy consumption due to ohmic dissipation of the electric-current flow. Overcoming this fundamental issue using a novel way of electric-field based spin manipulation is strongly desired. Not only for MRAMs, but all of the nonvolatile memories that have been proposed so far have a dilemma of choosing between stable nonvolatility and high operating energy. Therefore, the development of a novel type of memory having low operating energy as well as low stand-by energy can have great impact on the design of future memory hierarchy.
Various kinds of approaches to the electric-field manipulation of spin have been proposed and experimentally demonstrated, such as using the inverse magnetostriction effect in a multilayered stack with piezoelectric materials [16,17,18], the gate-controlled Curie temperature in ferromagnetic semiconductors [19,20,21] or even in an ultrathin ferromagnetic metal layer [22], magnetoelectric switching of exchange bias [23,24,25,26], electric polarization induced control in magnetic anisotropy at the ferromagnetic/ferroelectric interface [27,28], electric-field induced magnetic phase transition through structural phase transition [29], and the utilization of multiferroic materials [30,31]. However, each of these approaches have the drawbacks of limited operation temperature or low write endurance or difficulty in the introduction to magnetoresistive devices, although these requirements should be simultaneously satisfied for memory applications. We have focused on the voltage-controlled magnetic anisotropy (VCMA) effect in an ultrathin ferromagnetic layer [32,33] to overcome this problem.
This paper reviews recent progress in the research of the VCMA effect and the challenges that are faced in developing new types of MRAM controlled by voltage, called voltage-torque MRAM (also called Magnetoelectric (ME)-RAM) [34,35,36,37,38,39]. Section 2 presents an overview of the early experimental observations of the VCMA effect in all-solid state devices and the concept of voltage-induced dynamic switching, with a discussion of the technical challenges. In Section 3, the current understanding of the physical origin of the VCMA effect is discussed through experimental investigations while using X-ray absorption spectroscopy (XAS) and magnetic circular dichroism (XMCD) analyses with first-principles calculation. Section 4 presents the materials research being done to enhance the VCMA effect, especially focusing on the heavy metal doping technique. Finally, in Section 5, experimental demonstrations of reliable voltage-induced dynamic switching and an understanding of the voltage-induced spin dynamics are discussed, together with a discussion on the theoretical investigations being made.

2. Overview of the VCMA Effect and Voltage-Induced Dynamic Switching

Weisheit et al. first reported the VCMA effect in a 3d transition ferromagnetic layer in 2007 [32]. They observed a coercivity change of a few % in 2–4 nm-thick FePt(Pd) films immersed in a liquid electrolyte. Opposing trends in the change in coercivity in FePt and FePd, depending on the applied voltage, were observed. An electric double layer is effective for applying a large electric-field at the interface; however, the operating speed is limited and we need to take care of the influence of chemical reactions. The voltage control of in-plane magnetic anisotropy was also found in ferromagnetic semiconductors at low temperature [40]. Theoretical attempts to understand the physical origin of the VCMA effect in metal started around the same time. Duan et al. proposed that spin-dependent screening of the electric-field can induce modification in the surface magnetization and magnetic anisotropy [41]. Nakamura et al. calculated the VCMA effect in a freestanding Fe(001) monolayer and pointed out that electric-field induced changes in the band structure, especially the p orbitals near the Fermi level, which are coupled to the d states, play an important role [42]. Tsujikawa et al. studied the VCMA effect in a Pt/Fe/Pt/vacuum system and found that relative modification in the electron filling of the 3d orbital induced by the accumulated charges at the interface causes a change in the perpendicular magnetic anisotropy (PMA) [43]. Other possible mechanisms have also been discussed, such as electric-field induced modification in Rashba spin-orbit anisotropy [44,45] and electric-field induced atomic displacement at the interface between ferromagnetic oxide and dielectric layers [46].
We attempted to apply the VCMA effect in an all solid state structure, which consisted of epitaxial Au/ultrathin Fe(Co)/MgO/polyimide/ITO junctions grown on MgO(001) substrates (see Figure 2a) to investigate the feasibility for practical applications [33,47]. Figure 2b shows an example of polar magneto-optical Kerr effect (MOKE) hysteresis curves that were measured under the application of a voltage. The thickness of the Fe80Co20 layer is fixed at 0.58 nm. The bias direction is defined with respect to the top ITO electrode. A clear change in the saturation field in the out-of-plane direction can be seen, which suggests a modification in the PMA. Under the application of a positive bias, the PMA is suppressed and the in-plane anisotropy becomes more stable. On the other hand, the application of a negative voltage enhances the PMA and even the transition of the magnetic easy axis can be realized from the in-plane to the out-of-plane direction.
Due to screening by free electrons, the penetration of the electric-field into a metal is limited to the surface, unlike in the case of a semiconductor; however, if the thickness of the ferromagnetic layer is thin enough, e.g. several monoatomic layers, the modulation in the electronic structure at the interface can make a sizable impact on the magnetic properties. Details of an experimental verification for the physical origin of the VCMA effect are discussed in Section 2.
One great advantage of the VCMA effect is its high applicability in a MTJ structure, which is the most important practical devices in spintronics. Figure 3 exhibits the first demonstration of the VCMA effect that was observed in a MTJ structure, which consisted of Cr/ Au/ultrathin Fe80Co20(0.5 nm)/MgO(tMgO)/Fe grown on a MgO(001) substrate [48]. Here, we made electrical ferromagnetic resonance (FMR) measurements through the TMR effect. The PMA energy, KPMA, was evaluated from the resonant frequency of the free layer at each applied voltage. In addition to FMR measurements, the effect of a bias voltage on normalized TMR curves has also often been used for the quantitative evaluation of the VCMA effect, as discussed later [49]. Generally, the PMA energy linearly changes as a function of the applied electric field, E, which is defined as the applied bias voltage, Vbias, divided by the MgO thickness, tMgO. The slope of the linear relationship represents the VCMA coefficient in units of J/Vm, e.g. −37 fJ/Vm for the case in Figure 3. The VCMA coefficient is one of the most important parameters for demonstrating scalability and also in the reliable switching of the magnetization and thus the development of voltage-torque MRAM.
The realization of the VCMA effect in all-solid state devices, including a MTJ structure, made it possible for us to demonstrate the high speed response of this effect, such as in voltage-induced ferromagnetic resonance excitation [50,51,52,53,54], dynamic magnetization switching driven solely by the application of a voltage [55], and spin wave excitation [56,57,58].
In addition to ultrathin epitaxial films with large PMA [35,59,60,61,62,63,64,65,66,67], VCMA effects have been observed in various materials systems, for example, in sputter-deposited CoFeB [68,69,70,71,72,73,74,75,76,77,78,79,80,81], which is an important practical material that is used in the mass production of MTJs, and in self-assembled nano-islands [82], nanocomposite structures [83], and ultrathin layers with quantum well states [84]. The VCMA effect can also be applied for the control of domain wall motion [85,86,87] and magnetic skyrmions [88,89,90]. In addition, voltage control of the magnetic properties has been expanded not only for the PMA, but also for the Curie temperature [22], Dzyaloshinskii-Moriya interactions [91], interlayer exchange coupling [92], and proximity-induced magnetism in non-magnetic metal thin films [93,94,95].
The VCMA effect can induce a transition of the magnetic easy axis between the in-plane and out-of-plane directions by the application of a static voltage; however, bi-stable switching is not easily attained, because the VCMA effect does not break the time reversal symmetry. One possible way is to use the VCMA effect to assist other external fields. For example, the coercivity of the perpendicularly magnetized film can be reduced by the application of dc voltage [47,96,97] or of voltage-induced FMR [98], just as in the microwave-assisted magnetization reversal (MAMR) technique. Moreover, the combination of STT [99,100] or SOT [101] and the VCMA effect has also been experimentally demonstrated. These approaches are effective in reducing the energy that is required for writing by electric-current based manipulation; however, the realization of magnetization switching solely by a voltage effect is much more preferable.
We proposed pulse voltage-induced dynamic switching to overcome this problem (see Figure 4). This technique was first demonstrated in in-plane magnetized MTJs [55,102] and it was then applied in perpendicularly-magnetized MTJs [103,104,105,106,107,108,109]. For example, we assume the initial state (Figure 4a) to be the perpendicularly magnetized “up” state under the application of an in-plane bias magnetic field, Hbias. When a short pulse voltage is applied to eliminate the PMA completely, the magnetization starts to precess around the Hbias (Figure 4b). If the voltage pulse is turned off at the timing of half turn precession, then the magnetization can be stabilized in the opposite “down” direction (Figure 4c). Hbias is required to determine the axis of magnetization precession. The effective field, such as crystalline anisotropy field and the exchange bias field, is also applicable.
Figure 5a shows an example of an experimental demonstration of voltage-induced dynamic switching being observed in perpendicularly magnetized MTJs [105]. The top FeB layer with a W cap is the voltage-driven free layer. Under an optimized applied magnetic field, we achieved the stable toggle switching by the successive application of voltage pulses with a width of 1 ns and amplitude of −1.2 V. The precessional dynamics of the magnetization are reflected in the oscillation of the switching probability (PSW) as a function of pulse width, as shown in Figure 5b. A high PSW is obtained at the timing of half turn precession; however, when the pulse width is twice this, one turn precession results in low PSW. From a practical point of view, the first half turn precession is effective in obtaining a low WER with fast switching speed. Under the condition that the PMA is completely eliminated, the amplitude of Hbias determines the precession frequency, and then the switching time, tSW for the half turn precession is expressed as
t SW ~ π ( 1 α 2 ) γ μ 0 H bias
where α, γ, and μ0 are the magnetic damping constant, the gyromagnetic ratio, and the permeability of vacuum, respectively.
The possible advantages of voltage-induced dynamic switching are as follows. (ⅰ) Fast switching ( ~1 nanosecond) can be induced with an ultralow switching power of the order of a few fJ/bit. (ⅱ) The switching transistor can be downsized, because we do not need to apply a large electric-current. (ⅲ) Unipolar switching can separate the polarity of voltages for writing and reading. In addition, the VCMA-induced enhancement in PMA has been used to propose a unique approach to reduce the read disturbance [110].
On the other hand, the following technical challenges remain. Firstly, the realization of a large VCMA effect is the most important issue to show the scalability of the voltage-torque MRAM, as discussed in Section 4. Furthermore, as seen in Figure 5b, the switching probability is sensitive to the writing pulse width, due to the precession-mediated switching process. Therefore, we need verification as to whether a sufficiently-low WER can be achieved by the voltage-induced dynamic switching technique. In addition, this is a toggle switching technique, so pre-read and read-verify processes are always required for writing. These reading processes dominate the total write time, and it can be critical when the resistance of the MTJ cell increases. In addition, the removal of the external magnetic field is also an important issue for practical applications.

3. Physical Origin of the VCMA Effect

In this section, recent experimental trials conducted to understand the physical origin of the VCMA effect are introduced [111]. The following two mechanisms account for the purely electronic VCMA effect. The first mechanism comes from the charge-doping-induced anisotropy in the orbital angular momentum, as shown in Figure 6a. As each electron orbital in the vicinity of the Fermi level has a different density of states, selective charge doping may induce anisotropy in the orbital angular momentum. This effect changes the PMA energy through spin-orbit interactions from the spin-conserved virtual excitation processes [112,113], as expressed by the first term in Equation (2) [114].
1 4 λ ( Δ L ξ ,   Δ L ξ ,   ) + 7 2 λ ( Δ T ζ ,   Δ T ζ ,   )
Here, λ is the spin-orbit interaction coefficient. L and T′ are the orbital angular momentum and part of the magnetic dipole operator, respectively. Here, Δ L ξ L z L x and Δ T ζ T z T x are used. L z and L x are evaluated for the z- and x- components of the spin angular momentum, respectively. The same is the case for T z and T x . ↑ and ↓ denote the contributions from the majority and minority spin-bands, respectively. We call the first mechanism the orbital magnetic moment mechanism. The second mechanism is the VCMA effect from the induction of an electric quadrupole (Figure 6b). An electric-field applied to the metal/dielectric interface is inhomogeneous, owing to the strong electrostatic screening effect in the metal, such as electric-field, including higher-order quadratic components, can couple with the electric quadrupole correlated with the magnetic dipole operator in an electron shell of the metal layer. The induced energy split of each orbital changes the magnetic anisotropy through spin-orbit interactions from spin-flip virtual excitation processes [115,116], as shown in Figure 6c. The latter mechanism corresponds to the second term in Equation (2). We call this the electric quadrupole mechanism. As the expectation values for the orbital angular momentum and the magnetic dipole operator can be measured as the orbital magnetic moment and the magnetic dipole Tz term (mT), respectively, the aforementioned two mechanisms can be validated by X-ray absorption spectroscopy (XAS) and X-ray magnetic circular dichroism (XMCD) spectroscopy.
The XAS/XMCD experiments provide element-specific information on the electronic structure via the optical transition from the core level to unoccupied states in the valence band. Based on the use of circularly polarized X-rays, X-ray absorption techniques provide interesting features for the study of magnetic materials. Figure 7 shows a schematic diagram of the electronic states that are involved in an optical transition from the 2p core to d valence states, which is related to XMCD at the L edges of transition metals. The dichroic signal directly reflects the difference in the density of the states near the Fermi level between the up and down spin sub-bands. From the XMCD results with sum-rule analysis [117,118], the magnetic moments (spin magnetic moment: mS, mL, and mT) can be determined from the measured XAS/XMCD spectra. Here, the measured orbital magnetic moments and magnetic dipole Tz term have the following relationships;
Δ m L = μ B ( Δ L + Δ L ) ,   and 7 Δ m T = μ B ( Δ L 2 Δ L 2 ) 7 μ B ( Δ T + Δ T ) /
It should be noted that the PMA energy from the spin-conserved virtual excitation process (first term in Equation (2)) is related to the orbital magnetic moment and the PMA energy from the spin-flip virtual excitation process (second term in Equation (2)) is related to the magnetic dipole Tz term.
A Fe/Co (1 ML)/MgO multilayer was employed to see the changes in the orbital magnetic moment in XAS/XMCD experiments [113]. The sample stack is depicted in Figure 8a. A multilayered structure, consisting of bcc-V(001) (30 nm)/bcc-Fe(001) (0.4 nm)/Co (0.14 nm)/MgO(001) (2 nm)/SiO2 (5 nm)/Cr (2 nm)/Au (5 nm), was deposited on a MgO(001) substrate. Figure 8b shows the typical XAS/XMCD results around the L3 and L2 edges of Co with a magnetic field of 1.9 T (θ = 20°) to saturate the magnetization of the Fe/Co layer. The changes in the orbital magnetic moment and effective spin magnetic moment (mS − 7mT) of Co were determined while using sum-rule analysis, and they are summarized in Figure 8c,d. We can see that mL of Co with an electric-field of −0.2 V/nm is larger than that corresponding to +0.2 V/nm. Moreover, the induced change in mL with θ = 20° is larger than that with θ = 70°. The experiment demonstrates that an orbital magnetic moment anisotropy change of (0.013 ± 0.008)μB between the magnetization angles of θ = 20° and 70° was generated in the presence of applied electric fields of ±0.2 V/nm. Figure 8d shows the electric-field-induced change in mS − 7mT of Co. As with mL, mS − 7mT is enhanced under the application of a negative electric-field. Moreover, the electric-field-induced change in the magnetic moment is anisotropic. In contrast to mT, it is known that mS is not sensitive to the magnetization direction. Hence, the anisotropic part of the induced change in the magnetic moment should be attributed to mT.
As discussed in the previous section, Equation (2) can be used to analyze the VCMA effect. If we employ the spin-orbit interaction coefficient of Co, λCo = 5 meV, then the induced change in the PMA energy is estimated to be 0.039 ± 0.023 mJ/m2 when the applied electric-field is switched from +0.2 V/nm to −0.2 V/nm. Here, the experimentally obtained ΔmL = (0.017±0.010)μB was used. From the VCMA coefficient in the Fe/Co/MgO system (−82 fJ/Vm), the PMA energy change at ±0.2 V/nm is 0.03 mJ/m2, which is in good agreement with the PMA energy change that was obtained using the first term of Equation (2). From the discussion above, the change in the orbital magnetic moment anisotropy in Co seems to explain the VCMA effect. However, the impact of the change in the magnetic dipole Tz term (mT) that is shown in Figure 8d on the VCMA effect remains to be seen. In Ref. 113, a first principles study was employed to clarify this point. As a result, the VCMA effect from the spin-flip terms (ΔE↓↑ + ΔE↑↓) is found to be negligible and that from the spin-conserved terms (ΔE↑↑ + ΔE↓↓) appeared to be dominant. Therefore, the change in orbital magnetic moment is responsible for the VCMA effect. Due to the large exchange splitting for Co, the observed changes in mT do not contribute to the VCMA effect, as described by the second term in Equation (2).
It has been reported that the spin-orbit interaction energy from a spin-flip virtual excitation process makes a significant contribution to the VCMA effect when 3d/5d-layered transition metals are employed [116]. Figure 9a shows an experimental design and a high-angle annular dark-field scanning transmission electron microscopy (HAADF-STEM) image of the device. Figure 9b shows the typical results of the polarization-averaged XAS and its XMCD around the L3 and L2 energy edges of Pt. A perpendicular magnetic field of ±60 mT was applied to saturate the magnetization of FePt. Figure 9c,d show electric-field-induced changes in the magnetic moments of Pt. The results confirm a clear bias voltage inductions of mS − 7mT, while there is no significant change to mL under voltage applications.
In general, in low-symmetry systems, such as interfaces, the atomic electron orbital may possess an electric quadrupole moment. If the atom is also spin-polarized, the electric quadrupole moment induces the anisotropic part of the spin-density distribution, i.e., the magnetic dipole Tz term (mT) [114,115,116,118]. In contrast to mT, mS is not sensitive to the magnetization direction. In Ref. 116, the voltage-induced change in mS − 7mT shows large magnetization direction dependence. Thus, the observations indicate the significant induction of mT in Pt by an external voltage. A first-principles study was also conducted for the FePt/MgO system, similar to the Fe/Co/MgO study. As a result, firstly, the monoatomic Pt layer at the interface with MgO makes the dominant contribution to the VCMA effect. Moreover, while the VCMA effect from the spin-conserved terms (ΔE↑↑ + ΔE↓↓) decreases the PMA energy, the VCMA effect that is induced by the applied voltage from the spin-flip terms of interfacial Pt increases the PMA energy (ΔE↓↑ + ΔE↑↓). The total PMA energy in the FePt/MgO system increases under the condition of electron depletion at the Pt/MgO interface, as the PMA energy increase by the spin-flip terms is greater than the PMA energy decrease by the spin-conserved terms.
To conclude, for the 3d-transition ferromagnetic metals, it is important to consider the orbital magnetic moment anisotropy. The validity of the Bruno model [112] (first term of Equation (2) and Figure 6a) has been experimentally demonstrated in Ref. 113. For the 3d/5d-multilayered ferromagnetic metals, the orbital magnetic moment anisotropy in 3d-metals cannot completely explain the VCMA effect. In addition to the magnetic moments in 3d metals, those in 5d metals should be considered in treating the total PMA energy in the system. Moreover, both the orbital magnetic moments and the electric quadrupole mechanisms (second term of Equation (2) and Figure 6b) of Pt dominate the VCMA in the case of L10-FePt, as shown in Ref. 116. As discussed in the recent review paper [111], it has been widely recognized that the XAS/XMCD spectroscopy is a powerful tool to investigate the voltage-induced effects in spintronic devices [28,113,116,119,120,121,122,123,124].
A much larger VCMA coefficient can be obtained when compared with that of purely electronic origin if we use a chemical reaction [122,125]. For example, a VCMA coefficient exceeding 10,000 fJ/Vm originating from reversible oxygen ion migration has been demonstrated in the Co/GdOx system. In Ref. 122, XAS/XMCD spectroscopy at the Co absorption edge was employed to a Ta (4 nm)/Pt (3 nm)/Co (0.9 nm)/GdOx (33 nm)/Ta (2 nm)/Au (12 nm) multilayer and found that an applied voltage changes the oxidation state and magnetization of the Co. Ref. 125 also reports real-time measurements of such an electrochemical VCMA effect. The operating speed strongly depends on the applied voltage and temperature, which strongly indicates that the electrochemical VCMA requires a thermal activation process. The reported maximum speed was in the sub-millisecond range. Therefore, such large values of the electrochemical VCMA seem attractive, but lie beyond the scope of VCMA studies for working memory applications. A similarly large VCMA effect with limited operating speed has been observed in many systems with electrochemical reactions [28,126,127] and/or charge traps [128,129].
Recently, strain-induced modulation of electronic structures and its influence on the VCMA effect has attracted attention [130,131]. For example, Hibino et al. reported a high VCMA coefficient of +1600 fJ/Vm in a Pt/Co/Pd/MgO structure at 10 K [95]. Here, the thin Pd layer possesses a magnetic moment that is induced by the proximity effect from the adjacent Co layer. At room temperature, a conventional linear VCMA effect with an efficiency of −90 fJ/Vm was observed. On the other hand, at lower temperatures below 100 K, a strong nonlinear VCMA effect appeared with the sign reversal. They explained that the observed effect can be attributed to the temperature dependence of the strain in the Pd. Similarly, Kato et al. reported a VCMA coefficient of over +1000 fJ/Vm at room temperature in an Ir/tetragonal FeCo/MgO structure [132]. So far, only static measurements have been done in these experiments. A demonstration of a high speed response is required to confirm whether they actually originate from the purely-electronic VCMA effect or not.

4. Materials Research for a Large VCMA Effect

The VCMA coefficient is one of the most important parameters for the scalability design of voltage-torque MRAM. When the cell size is reduced, we need to increase the PMA of the free layer to maintain the target thermal stability. As described in Section 2, voltage-induced dynamic switching requires the elimination of the PMA during the precessional dynamics.
Figure 10 shows a simple estimate of the PMA and VCMA coefficient required to consider the scalability [34,35]. As the simplest example, if we assume a free layer whose PMA is only determined by the interface magnetic anisotropy at the interface with the dielectric layer, the effective PMA energy is expressed as
K PMA ( E ) = K i ( E ) t free 1 2 μ 0 M S 2
Here, tfree and MS are the thickness and saturation magnetization of the free layer. Ki(E) is the PMA under application of the electric-field (E), and it is given by
K i ( E ) = K i ( E = 0 ) η E
where η is the VCMA coefficient. The thermal stability Δ(Ε) of the free layer under the application of the electric-field can be expressed by
Δ ( E ) = K PMA ( E ) A t free k B T = Δ 0 η A k B T E
Here, A and Δ0 are the area of the free layer and the thermal stability under zero electric-field, respectively.
Consequently, the VCMA coefficient, η, which is required to eliminate Δ0 can be expressed as,
η = k B T Δ 0 A E SW
where ESW is the amplitude of the switching electric-field.
For the curves in Figure 10, it was assumed that tfree = 1 nm and ESW = 1 V/nm for each value of Δ0. If we take cache memory applications as an example, the required KPMAtfree values range from 0.2 mJ/m2 to 0.5 mJ/m2, depending on the target Δ0 values; consequently, the required VCMA coefficient is estimated to be from 200 fJ/Vm to 500 fJ/Vm. The main memory applications need higher KPMAtfree values in the range from 0.6 mJ/m2 to 1.5 mJ/m2. As a result, the required VCMA coefficient is in the range from 600 fJ/Vm to 1500 fJ/Vm. However, in experiments that have only focused on the purely-electronic VCMA effect, the achieved VCMA coefficient that is demonstrated in practical materials, such as CoFeB, has been limited to about 100 fJ/Vm [71,78,81,98].
We employed a fully epitaxial Cr/ultrathin Fe/MgO system as a standard system for the materials research of VCMA effect [133], because large interface magnetic anisotropy can be obtained due to the flat and well-defined Fe/MgO interface [134,135,136] when compared to MTJs with noble metal buffers, which can have the problem of surface segregation [137]. To evaluate the VCMA properties, we used molecular beam epitaxy to prepare orthogonally-magnetized MTJ structures that consisted of a MgO seed (3 nm)/Cr buffer (30 nm)/ultrathin Fe (tFe)/MgO (tMgO = 2.3 nm)/Fe(10 nm) on MgO(001) substrates. Here, the bottom ultrathin Fe layer is the voltage-controlled free layer with perpendicular magnetic easy axis and the top 10 nm-thick Fe is the in-plane magnetized reference layer. Figure 11a shows an example of the applied bias voltage, Vbias, and dependence of the half-MR loop measured under an in-plane magnetic field, Hex. The vertical axis is normalized using the maximum (Hex = 0 Oe) and minimum (Hex = −20 kOe) resistances. The Fe thickness is fixed at tFe = 0.44 nm.
The application of an in-plane magnetic field tilts the magnetization of the ultrathin Fe layer into the magnetic hard axis, while that of the reference layer remains in the film plane (see the drawings in Figure 11a). Therefore, the effective perpendicular anisotropy field is reflected in the saturation behavior of tunneling resistance. The tunneling conductance, G, depends on the relative angle (θ) between the magnetizations of the free and reference layers, i.e. G(θ) = G90 + (GPG90)cosθ. Here, G90 and GP are the conductance under the orthogonal and parallel magnetization configurations. Therefore, the ratio of the in-plane component of the magnetization of the free layer, Min-plane, to its saturation magnetization, MS, is expressed as
M in plane M S = cos θ = R 90 R ( θ ) R ( θ ) R P R 90 R P
where RP is the MTJ resistance in the parallel magnetization configuration, R90 is the MTJ resistance in the orthogonal magnetization configuration, and R(θ) is the MTJ resistance when the magnetization of the ultrathin Fe layer is tilted towards the in-plane direction at angle θ under the application of an in-plane magnetic field. Using Equation (8), we can evaluate the normalized in-plane magnetization versus the applied magnetic field. The inset in Figure 11b shows an example of a normalized M-H curve measured under Vbias = 10 mV. The PMA energy, KPMA can be calculated from Min-plane (H) with the saturation magnetization value evaluated by SQUID measurements (yellow area in the inset of Figure 11b). Figure 11b summarizes the applied electric-field, Vbias/tMgO, dependence of KPMAtFe. With ultrathin layers of Fe, an unexpected nonlinear VCMA effect was observed. Under the application of negative voltages, the PMA monotonically increases with a large VCMA coefficient of −290 fJ/Vm. On the other hand, the PMA deviates from a linear relationship under the application of positive voltages. Figure 12 summarizes the Fe thickness dependence of the VCMA coefficient. This nonlinear VCMA effect was only observed with ultrathin layers of Fe, tFe < 0.6 nm (blue dots), and the usual linear VCMA effect appears for thicker layers (red dots). Xiang et al. systematically investigated the tunneling conductance, the PMA, and the VCMA effect in a similar system to determine the origin of the nonlinear VCMA effect, but the MgO was replaced by a MgAl2O4 barrier, which has smaller lattice mismatch with Fe. Interestingly, they found strong correlation between the VCMA effect and the quantum well states of Δ1 band formed in an ultrathin Fe layer that is sandwiched between the Cr and MgO layers [138]. These results may indicate that artificial control of the electronic states in an ultrathin ferromagnetic layer may provide a new approach for designing the VCMA properties. In addition to the influence of quantum well states, we found that intentional Cr doping at the Fe/MgO interface can enhance the PMA and the VCMA effect [62]. Therefore, intermixing with the bottom Cr buffer may also have an influence on the observed large VCMA effect. A theoretical investigation to understand the role of the inter-diffused Cr atoms has been proceeded [139,140].
A large VCMA effect can be obtained with the Cr/ultrathin Fe/MgO system; however, we can only induce an enhancement in the PMA. As explained in Section 2, reduction in the PMA is required for voltage-induced dynamic switching of the perpendicularly-magnetized free layer.
Nakamura et al. proposed inserting a heavy metal monolayer at the Fe/MgO interface to improve the VCMA properties, and found using first-principles calculations that 5d transition metals, such as Ir and Os, would be effective in enhancing the VCMA coefficient [141]. A few experimental trials of interface engineering that included the insertion of a heavy metal layer at a CoFe-based film/MgO interface have been reported [81,142]; however, the VCMA coefficient was still less than 100 fJ/Vm. Ir seems to be a promising candidate for this purpose due to its huge spin-orbit coupling constant, which is more than 10 times larger than that of 3d transition ferromagnets [141].
We prepared multilayer structures consisting of Cr (30 nm)/ultrathin Fe(tFe)/Ir(tIr)/MgO (2.5 nm) with indium-tin oxide (ITO) or Fe (10 nm) top electrodes to investigate the impact of the introduction of Ir on the interfacial PMA and the VCMA effect [35]. The ultrathin Ir layer was inserted between the Fe and MgO layers; however, we found that the Ir atoms were dispersed inside the Fe layer during the post-annealing process, as seen in the HAADF-STEM images in Figure 13a. Atomic-scale Z-contrast HAADF-STEM imaging enabled the identification of inter-diffused Ir atoms as bright spots that are indicated by yellow arrows. The first-principles calculation predicts strong in-plane anisotropy at the Ir/MgO interface [141]; however, we observed an unexpected enhancement in the PMA. Figure 13b shows a comparison between the polar MOKE hysteresis curves of a single Fe layer (tFe = 1.0 nm) and an Ir-doped Fe layer formed the bilayer structure consisting of Fe (1.0 nm)/Ir (0.1 nm)). The pure Fe layer exhibits large saturation fields of about 7 kOe, which indicated an in-plane magnetic easy axis. On the other hand, the introduction of the quite thin Ir doping layer resulted in transition of the magnetic easy axis from the in-plane to the out-of-plane direction. Figure 13c summarizes the dependence of the intrinsic interfacial magnetic anisotropy, Ki,0, on the thickness of the Ir layer. With appropriate Ir doping, Ki,0 reaches 3.7 mJ/m2, which is about 1.8 times that observed at the Fe/MgO interface (2.0 mJ/m2) [35,134].
The Ir doping also has an effect on the VCMA. Figure 14a shows an example of the bias voltage effect on the TMR curves that were measured under in-plane magnetic fields for an orthogonally-magnetized MTJ with an Ir-doped Fe free layer (tFeIr = 0.82 nm; formed from Fe (0.77 nm)/Ir (0.05 nm)). The saturation field shifts with changes in the applied voltage, as is the case in a pure Fe/MgO structure. However, the applied electric-field dependence of KPMAtFeIr exhibits a completely different trend when compared with that observed in the Fe/MgO structure. We observed a large reduction in PMA with a VCMA coefficient of −320 fJ/Vm under positive voltages (see Figure 14b). It is interesting that such a low doping concentration of Ir, which is even thinner than one monolayer, can have a drastic effect on the VCMA properties. In addition, voltage-induced FMR measurements confirmed the high speed response of the VCMA effect, as shown in the inset in Figure 14b. Thus, the observed large VCMA comes from purely-electronic origin.
A theoretical analysis using first-principles calculation was performed in Cu(5ML)/Fe94Ir6(5ML)/MgO(5ML) structures to discuss the physical origin of the large VCMA effect in Ir-doped Fe. The Ir-doped bcc Fe was modeled by a supercell consisting of 4×4 unit cells as shown in Figure 15a. Figure 15b depicts the atomic-resolved electric-field induced magnetic anisotropy energies (MAE) for the Fe and Ir atoms. The variation in the MAE for the Ir atoms is more than five times greater than that for the Fe atoms. Interestingly, MAE change in the second layer (layer 2 in Figure 15b) from the interface with the MgO layer is larger than that of the layer 1, contrary to expectations.
We also attempted to divide the MAE into contributions from the spin-flip and spin-conserved terms between the occupied and unoccupied states. Figure 15c shows the voltage-induced changes in MAE that arise from second-order perturbation of the Ir sites in layers 1 and 2. The electric-field modulation of the spin-conserved term for the majority spin occupied and unoccupied states δE↑↑ is larger than that for the minority spin states δE↓↓. On the other hand, the spin-flip terms that are by the electric-field, δE↑↓ and δE↓↑ have almost the same absolute value, but with opposite sign, so the VCMA effect that arises from the spin-flip term is small. Therefore, the large VCMA effect in Ir-doped Fe is mainly caused by the electric-field effect on the majority spin Ir-5d states and it can be interpreted by the modulation in the first term of Equation (2), i.e. the orbital magnetic moment mechanism.
Figure 16 shows the density of states for Ir atoms in layer 2. The majority spin 5d states are dominant near the Fermi level, since the minority spin 5d states near the Fermi level form bonding and anti-bonding states by hybridization with the minority spin Fe-3d states. On the other hand, the majority spin 5d states are well-localized when compared with the minority spin states near the Fermi level. Figure 16 also shows the MAE as a function of the Fermi energy shift (black line). The PMA energy is drastically modified by a small shift in Fermi energy reflecting the localized majority spin states and the large spin-orbit coupling of the Ir atoms. As a result, a large VCMA is obtained for the charge-doping effect even in layer 2.
The theoretical calculations predict the larger VCMA effect exceeding a few thousand fJ/Vm by inserting a monolayer of Ir at the Fe/MgO interface; however, such a structure can drastically degrade the TMR properties in the MTJ device, in addition to the strong in-plane anisotropy. On the other hand, if Ir doping can improve both the PMA and the VCMA effect while minimizing degradation in TMR, the MTJs should be much more manufacturable, even by sputtering processes. In fact, the enhancement of the PMA and the VCMA effect by Ir doping has also been confirmed in polycrystalline MTJs that are mainly prepared by sputtering [143]. We still have numerous choices for the 4d and 5d elements, therefore materials engineering using heavy metal doping has enormous possibilities for further improvement in the interfacial PMA and VCMA properties.

5. Towards Reliable Voltage-Induced Dynamic Switching

In this section, recent experimental trials for reliable voltage-induced dynamic switching are discussed. As shown in Figure 4, voltage-driven magnetization switching is initiated by precession of the magnetization that is induced by the VCMA effect and the associated voltage-torque, which is proportional to the time derivative of the applied voltage. During the application of a voltage, the magnetization precesses around the effective field while undergoing magnetization damping. Once the voltage is turned off, the magnetic anisotropy immediately recovers as the ferromagnetic layer/dielectric layer junction discharges, and the magnetization relaxes into one of two polarities. We can achieve bipolar magnetization switching using a unipolar voltage pulse with a controlled duration since the polarity of the final state can be controlled by the voltage pulse width. In the absence of thermal fluctuations, the magnetization trajectory during the switching process is uniquely determined for a given initial state and voltage pulse shape, and therefore error-free magnetization switching can be achieved by choosing the appropriate voltage pulse width. However, in practice, the magnetization inevitably suffers thermal fluctuations and that results in the stochastic generation of write errors. Special care must be taken when attempting to reduce the write errors in voltage-torque MRAM cells. In the case of STT, the current polarity determines the polarity of magnetization switching, and a longer pulse may be used to reduce write errors. On the other hand, in the case of voltage-induced dynamic switching, a longer pulse dampens the magnetization along the effective field direction, and this degrades the switching accuracy.
Although earlier experiments have characterized the basics of voltage-driven magnetization switching, it was only in 2016 that the WER in a practical MTJ was quantitatively evaluated for the first time [105]. Figure 17a shows a schematic illustration of an experimental setup for evaluating the WER of an MTJ. Voltage pulses that were generated by the pulse generator are fed to the MTJ and these switch the free layer magnetization. The free layer magnetization direction, either parallel or antiparallel with respect to the reference layer magnetization, can be monitored via the TMR effect.
Figure 17b displays the typical behavior of voltage-driven magnetization switching; Psw is the switching probability, tpulse is the pulse width; and, Vpulse is the voltage amplitude. When Vpulse is small, the VCMA effect cannot completely eliminate the magnetic energy barrier; therefore, the magnetization switching in this region is dominated by thermal activation. As Vpulse is increased, well-defined oscillation of Psw appears, which is a signature of precession-mediated switching induced by the VCMA effect. As discussed in Section 2, the highest Psw is obtained at tpulse that corresponds to one-half the magnetization precession cycle, and then Psw gradually moves toward 0.5 while undergoing damped oscillations. This behavior can be understood as the combined action of magnetization damping and thermal fluctuations.
In Ref. 105, Shiota et al. employed perpendicularly magnetized MTJ (p-MTJ) that consisted of a reference layer/MgO/Fe80B20/W cap and experimentally demonstrated a WER of 4 × 10−3. They also demonstrated in numerical simulations that the WER could be reduced by improving the thermal stability factor, Δ and by reducing the magnetic damping, α of the free layer, as shown in Figure 17c. An improved Δ effectively reduces the thermal fluctuations in the initial state and in the relaxation process after switching. Moreover, a lower α can reduce the influence of thermal fluctuations during the switching process, which leads to more accurate writing. However, it should be noted that, the larger the value of Δ, the larger the VCMA efficiency required, otherwise the magnetization switching is dominated by thermal activation, and well-controlled magnetization switching cannot be obtained. By using CoFeB/MgO/CoFeB p-MTJs, Grezes et al. experimentally investigated the WER and the read disturbance rate as a function of read/write pulse width and amplitude, and examined the compatibility of the bit-level device performance for integration with CMOS processes [110]. They also simulated the performance of a 256 kbit voltage-torque MRAM block in a 28 nm CMOS process, and showed the capability of the MTJs for delivering WERs below 10−9 for 10 ns total write time by introducing the read verify processes. The introduction of read verify processes makes it possible to reduce the effective WER, however it causes an increase in the total writing time. Therefore, we need further effort to reduce the essential WER that is induced by single pulse switching. Recently, Shiota et al. showed that improvement in the PMA and VCMA properties can be achieved in the MTJ consisting of Ta/(Co30Fe70)80B20/MgO/reference layer, and demonstrated a WER of 2 × 10−5 without the read verify process [106]. Further optimization of the composition of the CoFeB alloy and the device structure allowed for a WER lower than 10−6 to be achieved, as shown in Figure 18 [109]. In this case, the introduction of a once read verify process enables a practical WER of the order of 10−12.
In addition to materials engineering, a physical understanding of the voltage-driven magnetization dynamics is also needed in order to facilitate reductions in the WER. Recent studies [107,108] showed that numerical simulations that are based on the macrospin approximation could well reproduce the experimental data by taking into account thermal fluctuations and magnetization damping. In macrospin approximation, the free layer spins are represented by a magnetic moment M, and its time evolution can be obtained by numerically solving the Landau-Lifshitz-Gilbert equation:
d M d t = γ M × H eff + α M M s × d M d t
where Ms is the saturation magnetization, t is the time, α is the damping constant, and Heff is the effective field given by
H eff = d E d M
and E is the energy density expressed as
E = K PMA ( 1 m z 2 ) M s H x m x
where m = (mx, my, mz) is the magnetization unit vector and Hx is an in-plane bias magnetic field. As displayed in Figure 19a, without the VCMA effect, the magnetization has two energy equilibrium at m ˜ ± = ( m ˜ x ,   0 ,   ± 1 m ˜ x 2 ) , where m ˜ x = M s H x / ( 2 K PMA ) , one maximum at mx = −1, and one saddle point at mx = 1. By letting KPMA fall to zero, the magnetization precesses around Hx associated with damping, and the appropriate duration can switch the magnetization direction.
Figure 19b displays a typical plot of the dependence of WER on tpulse that was observed in an MTJ consisting of a Ta/(Co30Fe70)80B20 (1.1 nm)/MgO/reference layer. The amplitude of the in-plane component of the bias magnetic field is 890 Oe. The filled circles and the line denote data were obtained from experiments and numerical simulations, respectively [107]. Good agreement with the experimental data suggests the validity of the model used for the numerical simulations. It is noteworthy that the WER exhibits a local maximum at a certain tpulse, which cannot be explained just by considering the VCMA effect. A detailed analysis of the magnetization trajectory revealed that thermal agitation during the relaxation process (i.e., after the pulse application) induces the transition of the magnetization between the precession orbits surrounding the energy minima and that the precession-orbit transition enhances the WER. The numerical simulations also revealed that the probability of the precession-orbit transition depends on tpulse (see Ref. 107 for more details). In the present case, the probability is maximized at around tpulse = 0.12 ns. This results in the appearance of a local maximum in the WER, and it narrows the operating tpulse range for which reliable magnetization switching is assured. As the appearance of the WER local maximum is related to magnetization fluctuations during the relaxation process, we need to reduce its influence by improving the PMA and VCMA properties in order to achieve a wide operating tpulse range.
In addition to tpulse, a recent study revealed that the WER depends in a unique manner on the rise time (trise) and fall time (tfall) [108]. Figure 20 displays the magnetization trajectories that were obtained by using three different waveforms. When a pulsed voltage is applied, the magnetization rotates from m+ towards m− (red line) and, after the pulse, the magnetization relaxes to either m ˜ + or m ˜ , depending on tpulse (green line). An important thing is that, due to the nonzero magnetization damping, the magnetization direction at the end of the voltage pulse (m′) never reaches m ˜ + or m ˜ whatever tpulse is chosen as long as one uses square pulses (Figure 20a). Therefore, it takes some time before the magnetization settles down to the energy minimum. During that time, the magnetization is subjected to thermal agitation, and a finite number of write errors will be counted. When a nonzero trise and/or nonzero tfall is introduced, the magnetization is subjected not only to Hx, but also to the anisotropy field due to the uncompensated PMA KPMA′(V,t), which is given by
H ani =   2 K PMA ( V , t ) m z M s
Since the polarity of Hani switches according to the polarity of mz, it applies additional torque to the magnetization that tilts the magnetization to Hx during trise (Figure 20b), and it pulls the magnetization away from Hx during tfall (Figure 20c). As a result, for trise = 0.085 ns, m′ comes closer to the saddle point, whereas, for tfall = 0.085 ns, m′ almost overlaps with m ˜ and thereby one can minimize the time that is required for relaxation. This suggests that there is a certain tfall which can minimize the WER. Indeed, such WER reduction is experimentally obtained and the numerical simulations reproduce it, as shown in Figure 20d,e.
The inverse bias method is another unique technique for reducing the WER. Figure 21a illustrates the write sequence of the conventional and inverse bias methods. In the inverse bias method, a bias voltage with a negative polarity is applied before and after the write pulse. If the system exhibits a linear VCMA effect, then the inverse bias enhances the KPMA of the free layer, and thereby reduces the thermal fluctuations in the initial state and during the relaxation process. It should be noted that inverse biases can also be used for the pre-read and read verify processes, which thereby offers a read-disturbance-free operation as well as WER reduction. Noguchi et al. first proposed the inverse bias method [37] and the effectiveness was later studied using numerical simulations [144]. In Ref. 144, a substantial reduction in WER was confirmed by introducing inverse biases, whose absolute intensity was the same as that of the write pulse, but with opposite sign (see Figure 21b).
Since precise control of voltage-driven magnetization switching relies on the precise control of the voltage pulse shape, accurate calculation and shaping of the voltage pulse waveform [38,145] are also an important technique for studying the voltage-driven magnetization dynamics in detail. The procedure that is presented in Ref. 145 allows for one to accurately analyze and control the voltage waveform applied to an MTJ. This is especially important in the development of voltage-torque MRAM, because the MTJ resistance becomes much higher than 50 Ω to suppress the flow of charge current, whereas nearly all microwave interconnects have a characteristic impedance of 50 Ω. This impedance mismatch gives rise to multiple reflections between the signal source and the MTJ, and/or the deformation of the waveform, and this obscures the correlation between the applied voltage waveform and the induced magnetization dynamics.
An external bias magnetic field has been used to determine the axis for magnetization precession in most experimental demonstrations of voltage-induced dynamic switching. However, the application of a magnetic field is not suitable for practical circuits. Therefore, we also need efforts to replace the external bias field by an effective field, such as through crystalline anisotropy and exchange bias fields. Matsumoto et al. proposed using a combination of a conical magnetization state and shape anisotropy to induce precessional switching under zero-bias magnetic field [146]. Conical magnetization states have been mainly studied in multilayer structures containing Co, such as Co/Pt and Co/Pd [147,148,149], however recently it can be realized, even in a practical CoFeB/MgO structure [150,151,152]. Therefore, the above proposed structure might be applicable if we can realize a sufficiently-high thermal stability while keeping the conical states.

6. Conclusions

Electric-field control of spin has the potential to make substantial impact on the development of novel nonvolatile memory with ultra-low operating power, as well as the expected zero stand-by power. The utilization of the voltage-controlled magnetic anisotropy (VCMA) effect is a promising approach to realizing voltage-torque MRAMs. Bi-stable magnetization switching has been demonstrated while using precessional dynamics that are induced by the VCMA effect. The purely-electronic VCMA effect originates from electric-field induced modification of the electronic structure at the interface between an ultrathin ferromagnet and a dielectric layer, such as MgO. In a 3d transition ferromagnet, e.g. Fe and Co, the voltage-induced change in the orbital magnetic moment plays an important role in the origin of the VCMA effect through the carrier accumulation/depletion effect at the interface. On the other hand, in a 3d/5d composite system, e.g. L10-FePt film, an electric quadrupole mechanism also has significant influence on the VCMA effect. To increase of the VCMA coefficient, the utilization of proximity-induced magnetism in a 5d transition metal, which has large spin-orbit coupling, is promising. A large VCMA coefficient of −320 fJ/Vm has been achieved in an Ir-doped ultrathin Fe layer with a demonstration of high-speed responsiveness. As for the reliability of writing while using voltage-induced dynamic switching, low write error rates of the order of 10−6 have been realized by improving the thermal stability and the VCMA effect in practical perpendicularly-magnetized MTJs. Further enhancement in the VCMA coefficient is the key to demonstrating the potential for scalability and realizing more reliable switching for voltage-torque MRAM. A novel nonvolatile memory maintaining low operating power as well as zero stand-by power can provide a broader option for the design of memory hierarchy in future data-driven society. We expect that the voltage-torque MRAM has the potential to be applied in IoT edge devices and wearable/implantable computing systems, in which, ultimately, low power consumption is strongly demanded. Furthermore, the voltage-control of spin may also lead to the improvement in other spintronic devices, such as a voltage-tuned magnetic sensor, spin-torque oscillator, and spin-based neuromorphic devices.

Author Contributions

T.N. wrote Section 1 “Introduction”, Section 2 “Overview of the VCMA effect and voltage-induced dynamic switching”, and Section 4 “Materials research for large VCMA effect”. T.Y. wrote Section 5 “Towards the reliable voltage-induced dynamic switching”. S.M. wrote Section 3 “Physical origin of the VCMA effect”. M.T. wrote part of the theoretical discussion in Section 4. M.S., Y.S. and S.Y. supervised the work and edited the manuscript.

Acknowledgments

This work was supported by the ImPACT Program of the Council for Science. We thank Y. Shiota, A. Kozioł-Rachwał, W. Skowroński, X. Xu, T. Ikeura, T. Ohkubo, T. Tsukahara, M. Suzuki, S. Tamaru, H. Kubota, A. Fukushima, K. Hono, K. Nakamura, T. Oda, R. Matsumoto, H. Imamura, Y. Miura, T. Taniguchi, T. Yorozu, Y. Kotani, T. Nakamura and M. Sahashi for fruitful discussions. The XAS and XMCD measurements were performed in SPring-8 with the approval of the Japan Synchrotron Radiation Research Institute (Proposal Nos. 2016B1017).

Conflicts of Interest

The authors declare no conflict of interest.

References

  1. Yuasa, S.; Nagahama, T.; Fukushima, A.; Suzuki, Y.; Ando, K. Giant room-temperature magnetoresistance in single-crystal Fe/MgO/Fe magnetic tunnel junctions. Nat. Mater. 2004, 3, 868–871. [Google Scholar] [CrossRef]
  2. Parkin, S.S.; Kaiser, C.; Panchula, A.; Rice, P.M.; Hughes, B.; Samant, M.; Yang, S.H. Giant tunnelling magnetoresistance at room temperature with MgO (100) tunnel barriers. Nat. Mater. 2004, 3, 862–867. [Google Scholar] [CrossRef]
  3. Slonczewski, J.C. Current-driven excitation of magnetic multilayers. J. Magn. Magn. Mater. 1996, 159, L1. [Google Scholar] [CrossRef]
  4. Berger, L. Emission of spin waves by a magnetic multilayer traversed by a current. Phys. Rev. B 1996, 54, 9353–9358. [Google Scholar] [CrossRef]
  5. Myers, E.B.; Ralph, D.C.; Katine, J.A.; Louie, R.N.; Buhrman, R.A. Current-induced switching of domains in magnetic multilayer devices. Science 1999, 285, 867–870. [Google Scholar] [CrossRef] [PubMed]
  6. Katine, J.A.; Albert, F.J.; Buhrman, R.A.; Myers, E.B.; Ralph, D.C. Current-driven magnetization reversal and spin-wave excitation in Co/Cu/Co pillars. Phys. Rev. Lett. 2000, 84, 3149–3152. [Google Scholar] [CrossRef]
  7. Huai, Y.; Albert, F.; Nguyen, P.; Pakala, M.; Valet, T. Observation of spin-transfer switching in deep submicron-sized and low-resistance magnetic tunnel junctions. Appl. Phys. Lett. 2004, 84, 3118–3120. [Google Scholar] [CrossRef]
  8. Kubota, H.; Fukushima, A.; Ootani, Y.; Yuasa, S.; Ando, K.; Maehara, H.; Tsunekawa, K.; Djayaprawira, D.D.; Watanabe, N.; Suzuki, Y. Evaluation of Spin-Transfer Switching in CoFeB/MgO/CoFeB Magnetic Tunnel Junctions. Jpn. J. Appl. Phys. 2005, 44, L1237. [Google Scholar] [CrossRef]
  9. Chernyshov, A.; Overby, M.; Liu, X.; Furdyna, J.K.; Lyanda-Geller, Y.; Rokhinson, L.P. Evidence for reversible control of magnetization in a ferromagnetic material by means of spin–orbit magnetic field. Nat. Phys. 2009, 5, 656–659. [Google Scholar] [CrossRef] [Green Version]
  10. Miron, I.M.; Gaudin, G.; Auffret, S.; Rodmacq, B.; Schuhl, A.; Pizzini, S.; Vogel, J.; Gambardella, P. Current-driven spin torque induced by the Rashba effect in a ferromagnetic metal layer. Nat. Mater. 2010, 9, 230–234. [Google Scholar] [CrossRef] [Green Version]
  11. Miron, I.M.; Garello, K.; Gaudin, G.; Zermatten, P.J.; Costache, M.V.; Auffret, S.; Bandiera, S.; Rodmacq, B.; Schuhl, A.; Gambardella, P. Perpendicular switching of a single ferromagnetic layer induced by in-plane current injection. Nature 2011, 476, 189–193. [Google Scholar] [CrossRef] [PubMed]
  12. Liu, L.; Pai, C.-F.; Li, Y.; Tseng, H.W.; Ralph, D.C.; Buhrman, R.A. Spin-torque switching with the giant spin Hall effect of tantalum. Science 2012, 336, 555–558. [Google Scholar] [CrossRef] [PubMed]
  13. Ando, K.; Fujita, S.; Ito, J.; Yuasa, S.; Suzuki, Y.; Nakatani, Y.; Miyazaki, T.; Yoda, H. Spin-transfer torque magnetoresistive random-access memory technologies for normally off computing (invited). J. Appl. Phys. 2014, 115, 172607. [Google Scholar] [CrossRef] [Green Version]
  14. Khvalkovskiy, A.V.; Apalkov, D.; Watts, S.; Chepulskii, R.; Beach, R.S.; Ong, A.; Tang, X.; Driskill-Smith, A.; Butler, W.H.; Visscher, B.P.; et al. Basic principles of STT-MRAM cell operation in memory arrays. J. Phys. D Appl. Phys. 2013, 46, 074001. [Google Scholar] [CrossRef]
  15. Rizal, C.; Moa, B.; Niraula, B. Ferromagnetic Multilayers: Magnetoresistance, Magnetic Anisotropy, and Beyond. Magnetochemistry 2016, 2, 22. [Google Scholar] [CrossRef]
  16. Novosad, V.; Otani, Y.; Ohsawa, A.; Kim, S.G.; Fukamichi, K.; Koike, J.; Maruyama, K.; Kitakami, O.; Shimada, Y. Novel magnetostrictive memory device. J. Appl. Phys. 2000, 87, 6400–6402. [Google Scholar] [CrossRef]
  17. Hu, J.M.; Li, Z.; Chen, L.Q.; Nan, C.W. High-density magnetoresistive random access memory operating at ultralow voltage at room temperature. Nat. Commun. 2011, 2, 553. [Google Scholar] [CrossRef] [PubMed] [Green Version]
  18. Wu, T.; Bur, A.; Wong, K.; Zhao, P.; Lynch, C.S.; Amiri, P.K.; Wang, K.L.; Carman, G.P. Electrical control of reversible and permanent magnetization reorientation for magnetoelectric memory devices. Appl. Phys. Lett. 2011, 98, 262504. [Google Scholar]
  19. Ohno, H.; Chiba, D.; Matsukura, F.; Omiya, T.; Abe, E.; Dietl, T.; Ohno, Y.; Ohtani, K. Electric-field control of ferromagnetism. Nature 2000, 408, 944–946. [Google Scholar] [CrossRef] [PubMed]
  20. Chiba, D.; Yamanouchi, M.; Matsukura, F.; Ohno, H. Electrical manipulation of magnetization reversal in a ferromagnetic semiconductor. Science 2003, 301, 943–945. [Google Scholar] [CrossRef] [PubMed]
  21. Yamada, Y.; Ueno, K.; Fukumura, T.; Yuan, H.T.; Shimotani, H.; Iwasa, Y.; Gu, L.; Tsukimoto, S.; Ikuhara, Y.; Kawasaki, M. Electrically induced ferromagnetism at room temperature in cobalt-doped titanium dioxide. Science 2011, 332, 1065–1067. [Google Scholar] [CrossRef] [PubMed]
  22. Chiba, D.; Fukami, S.; Shimamura, K.; Ishiwata, N.; Kobayashi, K.; Ono, T. Electrical control of the ferromagnetic phase transition in cobalt at room temperature. Nat. Mater. 2011, 10, 853–856. [Google Scholar] [CrossRef] [PubMed]
  23. Borisov, P.; Hochstrat, A.; Chen, X.; Kleemann, W.; Binek, C. Magnetoelectric switching of exchange bias. Phys. Rev. Lett. 2005, 94, 117203. [Google Scholar] [CrossRef] [PubMed]
  24. He, X.; Wang, Y.; Wu, N.; Caruso, A.N.; Vescovo, E.; Belashchenko, K.D.; Dowben, P.A.; Binek, C. Robust isothermal electric control of exchange bias at room temperature. Nat. Mater. 2010, 9, 579–585. [Google Scholar] [CrossRef] [PubMed] [Green Version]
  25. Ashida, T.; Oida, M.; Shimomura, N.; Nozaki, T.; Shibata, T.; Sahashi, M. Observation of magnetoelectric effect in Cr2O3/Pt/Co thin film system. Appl. Phys. Lett. 2014, 104, 152409. [Google Scholar] [CrossRef]
  26. Toyoki, K.; Shiratsuchi, Y.; Kobane, A.; Mitsumata, C.; Kotani, Y.; Nakamura, T.; Nakatani, R. Magnetoelectric switching of perpendicular exchange bias in Pt/Co/α-Cr2O3/Pt stacked films. Appl. Phys. Lett. 2015, 106, 162404. [Google Scholar] [CrossRef]
  27. Duan, C.G.; Jaswal, S.S.; Tsymbal, E.Y. Predicted magnetoelectric effect in Fe/BaTiO3 multilayers: Ferroelectric control of magnetism. Phys. Rev. Lett. 2006, 97, 047201. [Google Scholar] [CrossRef]
  28. Radaelli, G.; Petti, D.; Plekhanov, E.; Fina, I.; Torelli, P.; Salles, B.R.; Cantoni, M.; Rinaldi, C.; Gutierrez, D.; Panaccione, G.; et al. Electric control of magnetism at the Fe/BaTiO3 interface. Nat. Commun. 2014, 5, 3404. [Google Scholar] [CrossRef]
  29. Gerhard, L.; Yamada, T.K.; Balashov, T.; Takacs, A.F.; Wesselink, R.J.; Dane, M.; Fechner, M.; Ostanin, S.; Ernst, A.; Mertig, I.; et al. Magnetoelectric coupling at metal surfaces. Nat. Nanotechnol. 2010, 5, 792–797. [Google Scholar] [CrossRef] [Green Version]
  30. Heron, J.T.; Bosse, J.L.; He, Q.; Gao, Y.; Trassin, M.; Ye, L.; Clarkson, J.D.; Wang, C.; Liu, J.; Salahuddin, S.; et al. Deterministic switching of ferromagnetism at room temperature using an electric field. Nature 2014, 516, 370–373. [Google Scholar] [CrossRef] [PubMed]
  31. Tokunaga, Y.; Taguchi, Y.; Arima, T.-H.; Tokura, Y. Electric-field-induced generation and reversal of ferromagnetic moment in ferrites. Nat. Phys. 2012, 8, 838–844. [Google Scholar] [CrossRef]
  32. Weisheit, M.; Fahler, S.; Marty, A.; Souche, Y.; Poinsignon, C.; Givord, D. Electric field-induced modification of magnetism in thin-film ferromagnets. Science 2007, 315, 349–351. [Google Scholar] [CrossRef] [PubMed]
  33. Maruyama, T.; Shiota, Y.; Nozaki, T.; Ohta, K.; Toda, N.; Mizuguchi, M.; Tulapurkar, A.A.; Shinjo, T.; Shiraishi, M.; Mizukami, S.; et al. Large voltage-induced magnetic anisotropy change in a few atomic layers of iron. Nat. Nanotechnol. 2009, 4, 158–161. [Google Scholar] [CrossRef]
  34. Amiri, P.K.; Alzate, J.G.; Cai, X.Q.; Ebrahimi, F.; Hu, Q.; Wong, K.; Grezes, C.; Lee, H.; Yu, G.; Li, X.; et al. Electric-Field-Controlled Magnetoelectric RAM: Progress, Challenges, and Scaling. IEEE Trans. Magn. 2015, 51, 3401507. [Google Scholar]
  35. Nozaki, T.; Kozioł-Rachwał, A.; Tsujikawa, M.; Shiota, Y.; Xu, X.; Ohkubo, T.; Tsukahara, T.; Miwa, S.; Suzuki, M.; Tamaru, S.; et al. Highly efficient voltage control of spin and enhanced interfacial perpendicular magnetic anisotropy in iridium-doped Fe/MgO magnetic tunnel junctions. NPG Asia Mater. 2017, 9, e451. [Google Scholar] [CrossRef] [Green Version]
  36. Wang, K.L.; Lee, H.; Amiri, P.K. Magnetoelectric Random Access Memory-Based Circuit Design by Using Voltage-Controlled Magnetic Anisotropy in Magnetic Tunnel Junctions. IEEE Trans. Nanotechnol. 2015, 14, 992–997. [Google Scholar] [CrossRef]
  37. Noguchi, H.; Ikegami, K.; Abe, K.; Fujita, S.; Shiota, Y.; Nozaki, T.; Yuasa, S.; Suzuki, Y. Novel Voltage Controlled MRAM(VCM) with Fast Read/Write Circuits for Ultra Large Last Level Cache. In Proceedings of the 2016 IEEE International Electron Devices Meeting (IEDM), San Francisco, CA, USA, 3–7 Decembder 2016. [Google Scholar]
  38. Lee, H.; Lee, A.; Wang, S.; Ebrahimi, F.; Gupta, P.; Amiri, P.K.; Wang, K.L. Analysis and Compact Modeling of Magnetic Tunnel Junctions Utilizing Voltage-Controlled Magnetic Anisotropy. IEEE Trans. Magn. 2018, 54, 4400209. [Google Scholar] [CrossRef]
  39. Long, M.; Zeng, L.; Gao, T.; Zhang, D.; Qin, X.; Zhang, Y.; Zhao, W. Self-Adaptive Write Circuit for Magnetic Tunneling Junction Memory With Voltage-Controlled Magnetic Anisotropy Effect. IEEE Trans. Nanotechnol. 2018, 17, 492–499. [Google Scholar] [CrossRef]
  40. Chiba, D.; Sawicki, M.; Nishitani, Y.; Nakatani, Y.; Matsukura, F.; Ohno, H. Magnetization vector manipulation by electric fields. Nature 2008, 455, 515–518. [Google Scholar] [CrossRef]
  41. Duan, C.G.; Velev, J.P.; Sabirianov, R.F.; Zhu, Z.; Chu, J.; Jaswal, S.S.; Tsymbal, E.Y. Surface magnetoelectric effect in ferromagnetic metal films. Phys. Rev. Lett. 2008, 101, 137201. [Google Scholar] [CrossRef]
  42. Nakamura, K.; Shimabukuro, R.; Fujiwara, Y.; Akiyama, T.; Ito, T.; Freeman, A.J. Giant modification of the magnetocrystalline anisotropy in transition-metal monolayers by an external electric field. Phys. Rev. Lett. 2009, 102, 187201. [Google Scholar] [CrossRef] [PubMed]
  43. Tsujikawa, M.; Oda, T. Finite electric field effects in the large perpendicular magnetic anisotropy surface Pt/Fe/Pt(001): A first-principles study. Phys. Rev. Lett. 2009, 102, 247203. [Google Scholar] [CrossRef] [PubMed]
  44. Xu, L.; Zhang, S. Electric field control of interface magnetic anisotropy. J. Appl. Phys. 2012, 111, 07C501. [Google Scholar] [CrossRef]
  45. Barnes, S.E.; Ieda, J.; Maekawa, S. Rashba spin-orbit anisotropy and the electric field control of magnetism. Sci. Rep. 2014, 4, 4105. [Google Scholar] [CrossRef] [PubMed]
  46. Nakamura, K.; Akiyama, T.; Ito, T.; Weinert, M.; Freeman, A.J. Role of an interfacial FeO layer in the electric-field-driven switching of magnetocrystalline anisotropy at the Fe/MgO interface. Phys. Rev. B 2010, 81, 220409(R). [Google Scholar] [CrossRef]
  47. Shiota, Y.; Maruyama, T.; Nozaki, T.; Shinjo, T.; Shiraishi, A.M.; Suzuki, Y. Voltage-Assisted Magnetization Switching in Ultrathin Fe80Co20Alloy Layers. Appl. Phys. Exp. 2009, 2, 063001. [Google Scholar] [CrossRef]
  48. Nozaki, T.; Shiota, Y.; Shiraishi, M.; Shinjo, T.; Suzuki, Y. Voltage-induced perpendicular magnetic anisotropy change in magnetic tunnel junctions. Appl. Phy. Lett. 2010, 96, 022506. [Google Scholar] [CrossRef]
  49. Shiota, Y.; Murakami, S.; Bonell, F.; Nozaki, T.; Shinjo, T.; Suzuki, Y. Quantitative Evaluation of Voltage-Induced Magnetic Anisotropy Change by Magnetoresistance Measurement. Appl. Phys. Exp. 2011, 4, 043005. [Google Scholar] [CrossRef]
  50. Nozaki, T.; Shiota, Y.; Miwa, S.; Murakami, S.; Bonell, F.; Ishibashi, S.; Kubota, H.; Yakushiji, K.; Saruya, T.; Fukushima, A.; et al. Electric-field-induced ferromagnetic resonance excitation in an ultrathin ferromagnetic metal layer. Nat. Phys. 2012, 8, 492–496. [Google Scholar] [CrossRef]
  51. Zhu, J.; Katine, J.A.; Rowlands, G.E.; Chen, Y.J.; Duan, Z.; Alzate, J.G.; Upadhyaya, P.; Langer, J.; Amiri, P.K.; Wang, K.L.; et al. Voltage-induced ferromagnetic resonance in magnetic tunnel junctions. Phys Rev Lett 2012, 108, 197203. [Google Scholar] [CrossRef]
  52. Shiota, Y.; Miwa, S.; Tamaru, S.; Nozaki, T.; Kubota, H.; Fukushima, A.; Suzuki, Y.; Yuasa, S. High-output microwave detector using voltage-induced ferromagnetic resonance. Appl. Phys. Lett. 2014, 105, 192408. [Google Scholar] [CrossRef]
  53. Kanai, S.; Gajek, M.; Worledge, D.C.; Matsukura, F.; Ohno, H. Electric field-induced ferromagnetic resonance in a CoFeB/MgO magnetic tunnel junction under dc bias voltages. Appl. Phys. Lett. 2014, 105, 242409. [Google Scholar] [CrossRef]
  54. Rana, B.; Fukuma, Y.; Miura, K.; Takahashi, H.; Otani, Y. Effect of excitation power on voltage induced local magnetization dynamics in an ultrathin CoFeB film. Sci. Rep. 2017, 7, 2318. [Google Scholar] [CrossRef] [PubMed] [Green Version]
  55. Shiota, Y.; Nozaki, T.; Bonell, F.; Murakami, S.; Shinjo, T.; Suzuki, Y. Induction of coherent magnetization switching in a few atomic layers of FeCo using voltage pulses. Nat. Mater. 2012, 11, 39–43. [Google Scholar] [CrossRef] [PubMed]
  56. Verba, R.; Carpentieri, M.; Finocchio, G.; Tiberkevich, V.; Slavin, A. Excitation of Spin Waves in an In-Plane-Magnetized Ferromagnetic Nanowire Using Voltage-Controlled Magnetic Anisotropy. Phys. Rev. Appl. 2017, 7, 064023. [Google Scholar] [CrossRef] [Green Version]
  57. Chen, Y.J.; Lee, H.K.; Verba, R.; Katine, J.A.; Barsukov, I.; Tiberkevich, V.; Xiao, J.Q.; Slavin, A.N.; Krivorotov, I.N. Parametric Resonance of Magnetization Excited by Electric Field. Nano Lett. 2017, 17, 572–577. [Google Scholar] [CrossRef] [PubMed]
  58. Rana, B.; Fukuma, Y.; Miura, K.; Takahashi, H.; Otani, Y. Excitation of coherent propagating spin waves in ultrathin CoFeB film by voltage-controlled magnetic anisotropy. Appl. Phys. Lett. 2017, 111, 052404. [Google Scholar] [CrossRef]
  59. Bonell, F.; Murakami, S.; Shiota, Y.; Nozaki, T.; Shinjo, T.; Suzuki, Y. Large change in perpendicular magnetic anisotropy induced by an electric field in FePd ultrathin films. Appl. Phys. Lett. 2011, 98, 232510. [Google Scholar] [CrossRef]
  60. Seki, T.; Kohda, M.; Nitta, J.; Takanashi, K. Coercivity change in an FePt thin layer in a Hall device by voltage application. Appl. Phys. Lett. 2011, 98, 212505. [Google Scholar] [CrossRef]
  61. Kikushima, S.; Seki, T.; Uchida, K.; Saitoh, E.; Takanashi, K. Electric field effect on magnetic anisotropy for Fe-Pt-Pd alloys. AIP Adv. 2017, 7, 085210. [Google Scholar] [CrossRef]
  62. Kozioł-Rachwał, A.; Nozaki, T.; Freindl, K.; Korecki, J.; Yuasa, S.; Suzuki, Y. Enhancement of perpendicular magnetic anisotropy and its electric field-induced change through interface engineering in Cr/Fe/MgO. Sci. Rep. 2017, 7, 5993. [Google Scholar] [CrossRef] [PubMed]
  63. Xiang, Q.; Wen, Z.; Sukegawa, H.; Kasai, S.; Seki, T.; Kubota, T.; Takanashi, K.; Mitani, S. Nonlinear electric field effect on perpendicular magnetic anisotropy in Fe/MgO interfaces. J. Phys. D Appl. Phys. 2017, 50, 40LT04. [Google Scholar] [CrossRef] [Green Version]
  64. Wen, Z.; Sukegawa, H.; Seki, T.; Kubota, T.; Takanashi, K.; Mitani, S. Voltage control of magnetic anisotropy in epitaxial Ru/Co2FeAl/MgO heterostructures. Sci. Rep. 2017, 7, 45026. [Google Scholar] [CrossRef] [PubMed]
  65. Miwa, S.; Fujimoto, J.; Risius, P.; Nawaoka, K.; Goto, M.; Suzuki, Y. Strong Bias Effect on Voltage-Driven Torque at Epitaxial Fe-MgO Interface. Phys. Rev. X 2017, 7, 031018. [Google Scholar] [CrossRef]
  66. Shukla, A.K.; Goto, M.; Xu, X.; Nawaoka, K.; Suwardy, J.; Ohkubo, T.; Hono, K.; Miwa, S.; Suzuki, Y. Voltage-Controlled Magnetic Anisotropy in Fe1-xCox/Pd/MgO system. Sci. Rep. 2018, 8, 10362. [Google Scholar] [CrossRef] [PubMed]
  67. Suzuki, K.Z.; Kimura, S.; Kubota, H.; Mizukami, S. Magnetic Tunnel Junctions with a Nearly Zero Moment Manganese Nanolayer with Perpendicular Magnetic Anisotropy. ACS Appl. Mater. Interfaces 2018, 10, 43305. [Google Scholar] [CrossRef] [PubMed]
  68. Endo, M.; Kanai, S.; Ikeda, S.; Matsukura, F.; Ohno, H. Electric-field effects on thickness dependent magnetic anisotropy of sputtered MgO/Co40Fe40B20/Ta structures. Appl. Phys. Lett. 2010, 96, 212503. [Google Scholar] [CrossRef]
  69. Kita, K.; Abraham, D.W.; Gajek, M.J.; Worledge, D.C. Electric-field-control of magnetic anisotropy of Co0.6Fe0.2B0.2/oxide stacks using reduced voltage. J. Appl. Phys. 2012, 112, 033919. [Google Scholar] [CrossRef]
  70. Skowronński, W.; Wisśniowski, P.; Stobiecki, T.; Cardoso, S.; Freitas, P.P.; van Dijken, S. Magnetic field sensor with voltage-tunable sensing properties. Appl. Phys. Lett. 2012, 101, 192401. [Google Scholar] [CrossRef] [Green Version]
  71. Nozaki, T.; Yakushiji, K.; Tamaru, S.; Sekine, M.; Matsumoto, R.; Konoto, M.; Kubota, H.; Fukushima, A.; Yuasa, S. Voltage-Induced Magnetic Anisotropy Changes in an Ultrathin FeB Layer Sandwiched between Two MgO Layers. Appl. Phys. Exp. 2013, 6, 073005. [Google Scholar] [CrossRef]
  72. Shiota, Y.; Bonell, F.; Miwa, S.; Mizuochi, N.; Shinjo, T.; Suzuki, Y. Opposite signs of voltage-induced perpendicular magnetic anisotropy change in CoFeB∣MgO junctions with different underlayers. Appl. Phys. Lett. 2013, 103, 082410. [Google Scholar] [CrossRef]
  73. Alzate, J.G.; Amiri, P.K.; Yu, G.; Upadhyaya, P.; Katine, J.A.; Langer, J.; Ocker, B.; Krivorotov, I.N.; Wang, K.L. Temperature dependence of the voltage-controlled perpendicular anisotropy in nanoscale MgO|CoFeB|Ta magnetic tunnel junctions. Appl. Phys. Lett. 2014, 104, 112410. [Google Scholar] [CrossRef]
  74. Meng, H.; Naik, V.B.; Liu, R.; Han, G. Electric field control of spin re-orientation in perpendicular magnetic tunnel junctions—CoFeB and MgO thickness dependence. Appl. Phys. Lett. 2014, 105, 042410. [Google Scholar] [CrossRef]
  75. Okada, A.; Kanai, S.; Yamanouchi, M.; Ikeda, S.; Matsukura, F.; Ohno, H. Electric-field effects on magnetic anisotropy and damping constant in Ta/CoFeB/MgO investigated by ferromagnetic resonance. Appl. Phys. Lett. 2014, 105, 052415. [Google Scholar] [CrossRef]
  76. Li, X.; Yu, G.; Wu, H.; Ong, P.V.; Wong, K.; Hu, Q.; Ebrahimi, F.; Upadhyaya, P.; Akyol, M.; Kioussis, N.; et al. Thermally stable voltage-controlled perpendicular magnetic anisotropy in Mo|CoFeB|MgO structures. Appl. Phys. Lett. 2015, 107, 142403. [Google Scholar] [CrossRef]
  77. Skowroński, W.; Nozaki, T.; Lam, D.D.; Shiota, Y.; Yakushiji, K.; Kubota, H.; Fukushima, A.; Yuasa, S.; Suzuki, Y. Underlayer material influence on electric-field controlled perpendicular magnetic anisotropy in CoFeB/MgO magnetic tunnel junctions. Phys. Rev. B 2015, 91, 184410. [Google Scholar] [CrossRef]
  78. Skowroński, W.; Nozaki, T.; Shiota, Y.; Tamaru, S.; Yakushiji, K.; Kubota, H.; Fukushima, A.; Yuasa, S.; Suzuki, Y. Perpendicular magnetic anisotropy of Ir/CoFeB/MgO trilayer system tuned by electric fields. Appl. Phys. Exp. 2015, 8, 053003. [Google Scholar] [CrossRef]
  79. Piotrowski, S.K.; Bapna, M.; Oberdick, S.D.; Majetich, S.A.; Li, M.; Chien, C.L.; Ahmed, R.; Victora, R.H. Size and voltage dependence of effective anisotropy in sub-100-nm perpendicular magnetic tunnel junctions. Phys. Rev. B 2016, 94, 014404. [Google Scholar] [CrossRef] [Green Version]
  80. YLau, -C.; Sheng, P.; Mitani, S.; Chiba, D.; Hayashi, M. Electric field modulation of the non-linear areal magnetic anisotropy energy. Appl. Phys. Lett. 2017, 110, 022405. [Google Scholar] [Green Version]
  81. Li, X.; Fitzell, K.; Wu, D.; Karaba, C.T.; Buditama, A.; Yu, G.; Wong, K.L.; Altieri, N.; Grezes, C.; Kioussis, N.; et al. Enhancement of voltage-controlled magnetic anisotropy through precise control of Mg insertion thickness at CoFeB|MgO interface. Appl. Phys. Lett. 2017, 110, 052401. [Google Scholar] [CrossRef]
  82. Sonntag, A.; Hermenau, J.; Schlenhoff, A.; Friedlein, J.; Krause, S.; Wiesendanger, R. Electric-field-induced magnetic anisotropy in a nanomagnet investigated on the atomic scale. Phys. Rev. Lett. 2014, 112, 017204. [Google Scholar] [CrossRef] [PubMed]
  83. Zhou, T.; Leong, S.H.; Yuan, Z.M.; Hu, S.B.; Ong, C.L.; Liu, B. Manipulation of magnetism by electrical field in a real recording system. Appl. Phys. Lett. 2010, 96, 012506. [Google Scholar] [CrossRef]
  84. Bauer, U.; Przybylski, M.; Beach, G.S.D. Voltage control of magnetic anisotropy in Fe films with quantum well states. Phys. Rev. B 2014, 89, 174402. [Google Scholar] [CrossRef]
  85. Chiba, D.; Kawaguchi, M.; Fukami, S.; Ishiwata, N.; Shimamura, K.; Kobayashi, K.; Ono, T. Electric-field control of magnetic domain-wall velocity in ultrathin cobalt with perpendicular magnetization. Nat. Commun. 2012, 3, 888. [Google Scholar] [CrossRef] [PubMed] [Green Version]
  86. Schellekens, A.J.; van den Brink, A.; Franken, J.H.; Swagten, H.J.; Koopmans, B. Electric-field control of domain wall motion in perpendicularly magnetized materials. Nat. Commun. 2012, 3, 847. [Google Scholar] [CrossRef] [PubMed] [Green Version]
  87. Bauer, U.; Emori, S.; Beach, G.S.D. Voltage-controlled domain wall traps in ferromagnetic nanowires. Nat. Nanotechnol. 2013, 8, 411–416. [Google Scholar] [CrossRef] [PubMed]
  88. Schott, M.; Bernand-Mantel, A.; Ranno, L.; Pizzini, S.; Vogel, J.; Bea, H.; Baraduc, C.; Auffret, S.; Gaudin, G.; Givord, D. The Skyrmion Switch: Turning Magnetic Skyrmion Bubbles on and off with an Electric Field. Nano Lett. 2017, 17, 3006–3012. [Google Scholar] [CrossRef] [PubMed] [Green Version]
  89. Srivastava, T.; Schott, M.; Juge, R.; Krizakova, V.; Belmeguenai, M.; Roussigne, Y.; Bernand-Mantel, A.; Ranno, L.; Pizzini, S.; Cherif, S.M.; et al. Large-Voltage Tuning of Dzyaloshinskii-Moriya Interactions: A Route toward Dynamic Control of Skyrmion Chirality. Nano Lett. 2018, 18, 4871–4877. [Google Scholar] [CrossRef] [PubMed]
  90. Nozaki, T.; Jibiki, Y.; Goto, M.; Tamura, E.; Nozaki, T.; Kubota, H.; Fukushima, A.; Yuasa, S.; Suzuki, Y. Brownian motion of skyrmion bubbles and its control by voltage applications. Appl. Phys. Lett. 2019, 114, 012402. [Google Scholar] [CrossRef]
  91. Nawaoka, K.; Miwa, S.; Shiota, Y.; Mizuochi, N.; Suzuki, Y. Voltage induction of interfacial Dzyaloshinskii–Moriya interaction in Au/Fe/MgO artificial multilayer. Appl. Phys. Express 2015, 8, 063004. [Google Scholar] [CrossRef]
  92. Newhouse-Illige, T.; Liu, Y.; Xu, M.; Hickey, D.R.; Kundu, A.; Almasi, H.; Bi, C.; Wang, X.; Freeland, J.W.; Keavney, D.J.; et al. Voltage-controlled interlayer coupling in perpendicularly magnetized magnetic tunnel junctions. Nat. Commun. 2017, 8, 15232. [Google Scholar] [CrossRef] [Green Version]
  93. Hibino, Y.; Koyama, T.; Obinata, A.; Miwa, K.; Ono, S.; Chiba, D. Electric field modulation of magnetic anisotropy in perpendicularly magnetized Pt/Co structure with a Pd top layer. Appl. Phys. Express 2015, 8, 113002. [Google Scholar] [CrossRef]
  94. Obinata, A.; Hibino, Y.; Hayakawa, D.; Koyama, T.; Miwa, K.; Ono, S.; Chiba, D. Electric-field control of magnetic moment in Pd. Sci. Rep. 2015, 5, 14303. [Google Scholar] [CrossRef] [Green Version]
  95. Hibino, T.K.Y.; Obinata, A.; Harai, T.; Ota, S.; Miwa, K.; Ono, S.; Matsukura, F.; Ohno, H.; Chiba, D. Peculiar temperature dependence of electric-field effect on magnetic anisotropy in Co/Pd/MgO system. Appl. Phys. Lett. 2016, 109, 082403. [Google Scholar] [CrossRef]
  96. Amiri, P.K.; Upadhyaya, P.; Alzate, J.G.; Wang, K.L. Electric-field-induced thermally assisted switching of monodomain magnetic bits. J. Appl. Phys. 2013, 113, 013912. [Google Scholar] [CrossRef]
  97. Han, G.; Huang, J.; Chen, B.; Lim, S.T.; Tran, M. Electric Field Assisted Switching in Magnetic Random Access Memory. IEEE Trans. Magn. 2015, 51, 3401207. [Google Scholar] [CrossRef]
  98. Nozaki, T.; Arai, H.; Yakushiji, K.; Tamaru, S.; Kubota, H.; Imamura, H.; Fukushima, A.; Yuasa, S. Magnetization switching assisted by high-frequency-voltage-induced ferromagnetic resonance. Appl. Phys. Express 2014, 7, 073002. [Google Scholar] [CrossRef]
  99. Wang, W.G.; Li, M.; Hageman, S.; Chien, C.L. Electric-field-assisted switching in magnetic tunnel junctions. Nat. Mater. 2012, 11, 64–68. [Google Scholar] [CrossRef] [PubMed]
  100. Kanai, S.; Nakatani, Y.; Yamanouchi, M.; Ikeda, S.; Sato, H.; Matsukura, F.; Ohno, H. Magnetization switching in a CoFeB/MgO magnetic tunnel junction by combining spin-transfer torque and electric field-effect. Appl. Phys. Lett. 2014, 104, 212406. [Google Scholar] [CrossRef]
  101. Yoda, N.S.H.; Ohsawa, Y.; Shirotori, S.; Kato, Y.; Inokuchi, T.; Kamiguchi, Y.; Altansargai, B.; Saito, Y.; Koi, K.; Sugiyama, H.; et al. Voltage-Control Spintronics Memory (VoCSM) Having Potentials of Ultra-Low Energy-Consumption and High-Density. In Proceedings of the 2016 IEEE International Electron Devices Meeting (IEDM), San Francisco, CA, USA, 3–7 December 2016. [Google Scholar]
  102. Shiota, Y.; Miwa, S.; Nozaki, T.; Bonell, F.; Mizuochi, N.; Shinjo, T.; Kubota, H.; Yuasa, S.; Suzuki, Y. Pulse voltage-induced dynamic magnetization switching in magnetic tunneling junctions with high resistance-area product. Appl. Phys. Lett. 2012, 101, 102406. [Google Scholar] [CrossRef]
  103. Kanai, S.; Yamanouchi, M.; Ikeda, S.; Nakatani, Y.; Matsukura, F.; Ohno, H. Electric field-induced magnetization reversal in a perpendicular-anisotropy CoFeB-MgO magnetic tunnel junction. Appl. Phys. Lett. 2012, 101, 122403. [Google Scholar] [CrossRef]
  104. Grezes, C.; Rozas, A.R.; Ebrahimi, F.; Alzate, J.G.; Cai, X.; Katine, J.A.; Langer, J.; Ocker, B.; Amiri, P.K.; Wang, K.L. In-plane magnetic field effect on switching voltage and thermal stability in electric-field-controlled perpendicular magnetic tunnel junctions. AIP Adv. 2016, 6, 075014. [Google Scholar] [CrossRef] [Green Version]
  105. Shiota, Y.; Nozaki, T.; Tamaru, S.; Yakushiji, K.; Kubota, H.; Fukushima, A.; Yuasa, S.; Suzuki, Y. Evaluation of write error rate for voltage-driven dynamic magnetization switching in magnetic tunnel junctions with perpendicular magnetization. Appl. Phys. Exp. 2016, 9, 013001. [Google Scholar] [CrossRef]
  106. Shiota, Y.; Nozaki, T.; Tamaru, S.; Yakushiji, K.; Kubota, H.; Fukushima, A.; Yuasa, S.; Suzuki, Y. Reduction in write error rate of voltage-driven dynamic magnetization switching by improving thermal stability factor. Appl. Phys. Lett. 2017, 111, 022408. [Google Scholar] [CrossRef]
  107. Yamamoto, T.; Nozaki, T.; Shiota, Y.; Imamura, H.; Tamaru, S.; Yakushiji, K.; Kubota, H.; Fukushima, A.; Suzuki, Y.; Yuasa, S. Thermally Induced Precession-Orbit Transition of Magnetization in Voltage-Driven Magnetization Switching. Phys. Rev. Appl. 2018, 10, 024004. [Google Scholar] [CrossRef]
  108. Yamamoto, T.; Nozaki, T.; Imamura, H.; Shiota, Y.; Ikeura, T.; Tamaru, S.; Yakushiji, K.; Kubota, H.; Fukushima, A.; Suzuki, Y.; et al. Write-Error Reduction of Voltage-Torque-Driven Magnetization Switching by a Controlled Voltage Pulse. Phys. Rev. Appl. 2019, 11, 014013. [Google Scholar] [CrossRef]
  109. Yamamoto, T.; Nozaki, T.; Imamura, H.; Shiota, Y.; Tamaru, S.; Yakushiji, K.; Kubota, H.; Fukushima, A.; Suzuki, Y.; Yuasa, S. Improvement of write error rate in voltage-driven magnetization switching. J. Phys. D Appl. Phys. 2019, 52, 164001. [Google Scholar] [CrossRef]
  110. Grezes, C.; Lee, H.; Lee, A.; Wang, S.; Ebrahimi, F.; Li, X.; Wong, K.; Katine, J.A.; Ocker, B.; Langer, J.; et al. Write Error Rate and Read Disturbance in Electric-Field-Controlled MRAM. IEEE Magn. Lett. 2016, 8, 3102705. [Google Scholar]
  111. Miwa, S.; Suzuki, M.; Tsujikawa, M.; Nozaki, T.; Nakamura, T.; Shirai, M.; Yuasa, S.; Suzuki, Y. Perpendicular magnetic anisotropy and its electric-field-induced change at metal-dielectric interfaces. J. Phys. D Appl. Phys. 2019, 52, 063001. [Google Scholar] [CrossRef]
  112. Bruno, P. Tight-binding approach to the orbital magnetic moment and magnetocrystalline anisotropy of transition-metal monolayers. Phys. Rev. B 1989, 39, 865–868. [Google Scholar] [CrossRef]
  113. Kawabe, T.; Yoshikawa, K.; Tsujikawa, M.; Tsukahara, T.; Nawaoka, K.; Kotani, Y.; Toyoki, K.; Goto, M.; Suzuki, M.; Nakamura, T.; et al. Electric-field-induced changes of magnetic moments and magnetocrystalline anisotropy in ultrathin cobalt films. Phys. Rev. B 2017, 96, 220412(R). [Google Scholar] [CrossRef]
  114. Suzuki, Y.; Miwa, S. Magnetic anisotropy of ferromagnetic metals in low-symmetry systems. Phys. Lett. A 2019, 383, 1203–1206. [Google Scholar] [CrossRef]
  115. Van der Laan, G. Microscopic origin of magnetocrystalline anisotropy in transition metal thin films. J. Phys. Condens. Mater. 1997, 10, 3239–3253. [Google Scholar] [CrossRef]
  116. Miwa, S.; Suzuki, M.; Tsujikawa, M.; Matsuda, K.; Nozaki, T.; Tanaka, K.; Tsukahara, T.; Nawaoka, K.; Goto, M.; Kotani, Y.; et al. Voltage controlled interfacial magnetism through platinum orbits. Nat. Commun. 2017, 8, 15848. [Google Scholar] [CrossRef] [PubMed] [Green Version]
  117. Thole, B.T.; Carra, P.; Sette, F.; van der Laan, G. X-ray circular dichroism as a probe of orbital magnetization. Phys. Rev. Lett. 1992, 68, 1943–1946. [Google Scholar] [CrossRef] [PubMed]
  118. Carra, P.; Thole, B.T.; Altarelli, M.; Wang, X. X-ray circular dichroism and local magnetic fields. Phys. Rev. Lett. 1993, 70, 694–697. [Google Scholar] [CrossRef] [PubMed]
  119. Bonell, F.; Takahashi, Y.T.; Lam, D.D.; Yoshida, S.; Shiota, Y.; Miwa, S.; Nakamura, T.; Suzuki, Y. Reversible change in the oxidation state and magnetic circular dichroism of Fe driven by an electric field at the FeCo/MgO interface. Appl. Phys. Lett. 2013, 102, 152401. [Google Scholar] [CrossRef]
  120. Miwa, S.; Matsuda, K.; Tanaka, K.; Kotani, Y.; Goto, M.; Nakamura, T.; Suzuki, Y. Voltage-controlled magnetic anisotropy in Fe|MgO tunnel junctions studied by x-ray absorption spectroscopy. Appl. Phys. Lett. 2015, 107, 162402. [Google Scholar] [CrossRef]
  121. Suzuki, M.; Tsukahara, T.; Miyakaze, R.; Furuta, T.; Shimose, K.; Goto, M.; Nozaki, T.; Yuasa, S.; Suzuki, Y.; Miwa, S. Extended X-ray absorption fine structure analysis of voltage-induced effects in the interfacial atomic structure of Fe/Pt/MgO. Appl. Phys. Express 2017, 10, 063006. [Google Scholar] [CrossRef]
  122. Bi, C.; Liu, Y.; Newhouse-Illige, T.; Xu, M.; Rosales, M.; Freeland, J.W.; Mryasov, O.; Zhang, S.; Velthuis, S.G.T.; Wang, W.G. Reversible control of Co magnetism by voltage-induced oxidation. Phys. Rev. Lett. 2014, 113, 267202. [Google Scholar] [CrossRef] [PubMed]
  123. Tsukahara, T.; Kawabe, T.; Shimose, K.; Furuta, T.; Miyakaze, R.; Nawaoka, K.; Goto, M.; Nozaki, T.; Yuasa, S.; Kotani, Y.; et al. Characterization of the magnetic moments of ultrathin Fe film in an external electric field via high-precision X-ray magnetic circular dichroism spectroscopy. Jpn. J. Appl. Phys. 2017, 56, 060304. [Google Scholar] [CrossRef]
  124. Yamada, K.T.; Suzuki, M.; Pradipto, A.M.; Koyama, T.; Kim, S.; Kim, K.J.; Ono, S.; Taniguchi, T.; Mizuno, H.; Ando, F.; et al. Microscopic Investigation into the Electric Field Effect on Proximity-Induced Magnetism in Pt. Phys. Rev. Lett. 2018, 120, 157203. [Google Scholar] [CrossRef] [PubMed]
  125. Bauer, U.; Yao, L.; Tan, A.J.; Agrawal, P.; Emori, S.; Tuller, H.L.; van Dijken, S.; Beach, G.S. Magneto-ionic control of interfacial magnetism. Nat. Mater. 2015, 14, 174–181. [Google Scholar] [CrossRef]
  126. Gilbert, D.A.; Grutter, A.J.; Arenholz, E.; Liu, K.; Kirby, B.J.; Borchers, J.A.; Maranville, B.B. Structural and magnetic depth profiles of magneto-ionic heterostructures beyond the interface limit. Nat. Commun. 2016, 7, 12264. [Google Scholar] [CrossRef] [PubMed] [Green Version]
  127. Sakamaki, M.; Amemiya, K. Observation of an electric field-induced interface redox reaction and magnetic modification in GdOx/Co thin film by means of depth-resolved X-ray absorption spectroscopy. Phys. Chem. Chem. Phys. 2018, 20, 20004. [Google Scholar] [CrossRef] [PubMed]
  128. Bauer, U.; Przybylski, M.; Kirschner, J.; Beach, G.S. Magnetoelectric charge trap memory. Nano Lett. 2012, 12, 1437–1442. [Google Scholar] [CrossRef] [PubMed]
  129. Rajanikanth, A.; Hauet, T.; Montaigne, F.; Mangin, S.; Andrieu, S. Magnetic anisotropy modified by electric field in V/Fe/MgO(001)/Fe epitaxial magnetic tunnel junction. Appl. Phys. Lett. 2013, 103, 062402. [Google Scholar] [CrossRef] [Green Version]
  130. Ong, P.V.; Kioussis, N.; Odkhuu, D.; Amiri, P.K.; Wang, K.L.; Carman, G.P. Giant voltage modulation of magnetic anisotropy in strained heavy metal/magnet/insulator heterostructures. Phys. Rev. B 2015, 92, 020407(R). [Google Scholar] [CrossRef]
  131. Odkhuu, D. Giant strain control of magnetoelectric effect in Ta|Fe|MgO. Sci. Rep. 2016, 6, 32742. [Google Scholar] [CrossRef] [Green Version]
  132. Kato, Y.; Yoda, H.; Saito, Y.; Oikawa, S.; Fujii, K.; Yoshiki, M.; Koi, K.; Sugiyama, H.; Ishikawa, M.; Inokuchi, T.; et al. Giant voltage-controlled magnetic anisotropy effect in a crystallographically strained CoFe system. Appl. Phys. Express 2018, 11, 053007. [Google Scholar] [CrossRef]
  133. Nozaki, T.; Kozioł-Rachwał, A.; Skowroński, W.; Zayets, V.; Shiota, Y.; Tamaru, S.; Kubota, H.; Fukushima, A.; Yuasa, S.; Suzuki, Y. Large Voltage-Induced Changes in the Perpendicular Magnetic Anisotropy of an MgO-Based Tunnel Junction with an Ultrathin Fe Layer. Phys. Rev. Appl. 2016, 5, 044006. [Google Scholar] [CrossRef]
  134. Koo, J.W.; Mitani, S.; Sasaki, T.T.; Sukegawa, H.; Wen, Z.C.; Ohkubo, T.; Niizeki, T.; Inomata, K.; Hono, K. Large perpendicular magnetic anisotropy at Fe/MgO interface. Appl. Phys. Lett. 2013, 103, 192401. [Google Scholar] [CrossRef]
  135. Lambert, C.H.; Rajanikanth, A.; Hauet, T.; Mangin, S.; Fullerton, E.E.; Andrieu, S. Quantifying perpendicular magnetic anisotropy at the Fe-MgO(001) interface. Appl. Phys. Lett. 2013, 102, 122410. [Google Scholar] [CrossRef] [Green Version]
  136. Okabayashi, J.; Koo, J.W.; Sukegawa, H.; Mitani, S.; Takagi, Y.; Yokoyama, T. Perpendicular magnetic anisotropy at the interface between ultrathin Fe film and MgO studied by angular-dependent x-ray magnetic circular dichroism. Appl. Phys. Lett. 2014, 105, 122408. [Google Scholar] [CrossRef]
  137. Bonell, F.; Lam, D.D.; Yoshida, S.; Takahashi, Y.T.; Shiota, Y.; Miwa, S.; Nakamura, T.; Suzuki, Y. Investigation of Au and Ag segregation on Fe(001) with soft X-ray absorption. Surf. Sci. 2013, 616, 125–130. [Google Scholar] [CrossRef]
  138. Xiang, H.S.Q.; Al-Mahdawi, M.; Belmoubaric, M.; Kasai, S.; Sakuraba, Y.; Mitani, S.; Hono, K. Atomic layer number dependence of voltage-controlled magnetic anisotropy in Cr/Fe/MgAl2O4 heterostructure. In Proceedings of the Intermag2018, Singapore, FC-03, Marina Bay Sands Convention Centere, Singapore, 23–27 April 2018. [Google Scholar]
  139. Pardede, I.; Kanagawa, T.; Ikhsan, N.; Murata, I.; Yoshikawa, D.; Obata, M.; Oda, T. A Comprehensive Study of Sign Change in Electric Field Control Perpendicular Magnetic Anisotropy Energy at Fe/MgO Interface: First Principles Calculation. IEEE Trans. Magn. 2019, 55, 1700104. [Google Scholar] [CrossRef]
  140. Zhang, J.; Lukashev, P.V.; Jaswal, S.S.; Tsymbal, E.Y. Model of orbital populations for voltage-controlled magnetic anisotropy in transition -metal thin films. Phys. Rev. B 2017, 96, 014435. [Google Scholar] [CrossRef]
  141. Nakamura, K.; Nomura, T.; Pradipto, A.M.; Nawa, K.; Akiyama, T.; Ito, T. Effect of heavy-metal insertions at Fe/MgO interfaces on electric-field-induced modification of magnetocrystalline anisotropy. J. Magn. Magn. Mater. 2017, 429, 214–220. [Google Scholar] [CrossRef]
  142. Bonaedy, T.; Choi, J.W.; Jang, C.; Min, B.-C.; Chang, J. Enhancement of electric-field-induced change of magnetic anisotropy by interface engineering of MgO magnetic tunnel junctions. J. Phys. D Appl. Phys. 2015, 48, 225002. [Google Scholar] [CrossRef]
  143. Nozaki, T.; Yamamoto, T.; Tamaru, S.; Kubota, H.; Fukushima, A.; Suzuki, A.Y.; Yuasa, S. Enhancement in the interfacial perpendicular magnetic anisotropy and the voltage-controlled magnetic anisotropy by heavy metal doping at the Fe/MgO interface. APL Mater. 2018, 6, 026101. [Google Scholar] [CrossRef]
  144. Ikeura, T.; Nozaki, T.; Shiota, Y.; Yamamoto, T.; Imamura, H.; Kubota, H.; Fukushima, A.; Suzuki, Y.; Yuasa, S. Reduction in the write error rate of voltage-induced dynamic magnetization switching using the reverse bias method. Jpn. J. Appl. Phys. 2018, 57, 040311. [Google Scholar] [CrossRef]
  145. Tamaru, S.; Yamamoto, T.; Nozaki, T.; Yuasa, S. Accurate calculation and shaping of the voltage pulse waveform applied to a voltage-controlled magnetic random access memory cell. Jpn. J. Appl. Phys. 2018, 57, 073002. [Google Scholar] [CrossRef]
  146. Matsumoto, R.; Nozaki, T.; Yuasa, S.; Imamura, H. Voltage-Induced Precessional Switching at Zero-Bias Magnetic Field in a Conically Magnetized Free Layer. Phys. Rev. Appl. 2018, 9, 014026. [Google Scholar] [CrossRef] [Green Version]
  147. Lee, J.-W.; Jeong, J.-R.; Shin, S.-C.; Kim, J.; Kim, S.-K. Spin-reorientation transitions in ultrathin Co films on Pt(111) and Pd(111) single-crystal substrates. Phys. Rev. B 2002, 66, 172409. [Google Scholar] [CrossRef]
  148. Stamps, R.L.; Louail, L.; Hehn, M.; Gester, M.; Ounadjela, K. Anisotropies, cone states, and stripe domains in Co/Pt multilayers. J. Appl. Phys. 1997, 81, 4751–4753. [Google Scholar] [CrossRef]
  149. Kisielewski, M.; Maziewski, A.; Tekielak, M.; Ferré, J.; Lemerle, S.; Mathet, V.; Chappert, C. Magnetic anisotropy and magnetization reversal processes in Pt/Co/Pt films. J. Magn. Magn. Mater. 2003, 260, 231–243. [Google Scholar] [CrossRef]
  150. Shaw, J.M.; Nembach, H.T.; Weiler, M.; Silva, T.J.; Schoen, M.; Sun, J.Z.; Worledge, D.C. Perpendicular Magnetic Anisotropy and Easy Cone State in Ta/Co60Fe20B20/MgO. IEEE Magn. Lett. 2015, 6, 3500404. [Google Scholar] [CrossRef]
  151. Fu, Y.; Barsukov, I.; Li, J.; Gonçalves, A.M.; Kuo, C.C.; Farle, M.; Krivorotov, I.N. Temperature dependence of perpendicular magnetic anisotropy in CoFeB thin films. Appl. Phys. Lett. 2016, 108, 142403. [Google Scholar] [CrossRef]
  152. Park, K.-W.; Park, J.-Y.; Baek, S.-H.C.; Kim, D.-H.; Seo, S.-M.; Chung, S.-W.; Park, B.-G. Electric field control of magnetic anisotropy in the easy cone state of Ta/Pt/CoFeB/MgO structures. Appl. Phys. Lett. 2016, 109, 012405. [Google Scholar] [CrossRef]
Figure 1. Reported writing energy for toggle magnetoresistive random-access memory (MRAM) (red dots) and spin-transfer torque-based switching (STT-MRAM) (blue dots) as a function of magnetic tunnel junctions (MTJ) cell size and the target area for voltage-torque MRAM.
Figure 1. Reported writing energy for toggle magnetoresistive random-access memory (MRAM) (red dots) and spin-transfer torque-based switching (STT-MRAM) (blue dots) as a function of magnetic tunnel junctions (MTJ) cell size and the target area for voltage-torque MRAM.
Micromachines 10 00327 g001
Figure 2. (a) Schematic illustration of sample stack used for the first demonstration of the voltage-controlled magnetic anisotropy (VCMA) effect in an all-solid state structure, and (b) applied bias voltage dependence of the polar-magneto-optical Kerr effect (MOKE) hysteresis curves for a 0.58 nm-thick Fe80Co20 layer.
Figure 2. (a) Schematic illustration of sample stack used for the first demonstration of the voltage-controlled magnetic anisotropy (VCMA) effect in an all-solid state structure, and (b) applied bias voltage dependence of the polar-magneto-optical Kerr effect (MOKE) hysteresis curves for a 0.58 nm-thick Fe80Co20 layer.
Micromachines 10 00327 g002
Figure 3. Example of applied electric-field dependence of KPMAtfree observed in an MgO-based MTJ structure. Reprinted figure with permission from [48], Copyright 2010 by the AIP Publishing LLC.
Figure 3. Example of applied electric-field dependence of KPMAtfree observed in an MgO-based MTJ structure. Reprinted figure with permission from [48], Copyright 2010 by the AIP Publishing LLC.
Micromachines 10 00327 g003
Figure 4. Conceptual diagram of voltage-induced dynamic switching for a perpendicularly-magnetized film. The in-plane bias magnetic field, Hbias, which determines the axis of the precessional dynamics, is applied in the +x direction. (a) initial state (point S), (b) precessional switching process induced by an application of pulse voltage (from point S to point M), and (c) relaxation process (from point M to point E).
Figure 4. Conceptual diagram of voltage-induced dynamic switching for a perpendicularly-magnetized film. The in-plane bias magnetic field, Hbias, which determines the axis of the precessional dynamics, is applied in the +x direction. (a) initial state (point S), (b) precessional switching process induced by an application of pulse voltage (from point S to point M), and (c) relaxation process (from point M to point E).
Micromachines 10 00327 g004
Figure 5. Experimental demonstration of voltage-induced dynamic switching. (a) Schematic of the sample structure of a voltage-controlled perpendicularly-magnetized MTJ and observed bi-stable switching between parallel and antiparallel magnetization configurations induced by successive pulse voltage applications. (b) Pulse width dependence of switching probability, PSW. Due to the precessional dynamics, PSW exhibits oscillatory behavior depending on the pulse width.
Figure 5. Experimental demonstration of voltage-induced dynamic switching. (a) Schematic of the sample structure of a voltage-controlled perpendicularly-magnetized MTJ and observed bi-stable switching between parallel and antiparallel magnetization configurations induced by successive pulse voltage applications. (b) Pulse width dependence of switching probability, PSW. Due to the precessional dynamics, PSW exhibits oscillatory behavior depending on the pulse width.
Micromachines 10 00327 g005
Figure 6. Microscopic origin of the VCMA effect. (a) Orbital magnetic moment mechanism. (b) Electric quadrupole mechanism. (c) Schematic of the nonlinear electric field at the interface between the dielectrics and the ferromagnet, which induces a charge redistribution-induced VCMA effect.
Figure 6. Microscopic origin of the VCMA effect. (a) Orbital magnetic moment mechanism. (b) Electric quadrupole mechanism. (c) Schematic of the nonlinear electric field at the interface between the dielectrics and the ferromagnet, which induces a charge redistribution-induced VCMA effect.
Micromachines 10 00327 g006
Figure 7. Diagram of the electronic states related to X-ray absorption spectroscopy and X-ray magnetic circular dichroism (XAS/XMCD) measurements at the L-edges of transition metals.
Figure 7. Diagram of the electronic states related to X-ray absorption spectroscopy and X-ray magnetic circular dichroism (XAS/XMCD) measurements at the L-edges of transition metals.
Micromachines 10 00327 g007
Figure 8. Voltage-induced changes to the magnetic moment of Co in the Fe/Co/MgO system. (a) Schematic of the sample structure. (b) Typical XAS/XMCD results around the Co-absorption edges. (c) Voltage-induced change to the orbital magnetic moment in Co. (d) Voltage-induced changes to the effective spin magnetic moment (mS − 7mT) in Co. Reprinted figure with permission from [113], Copyright 2017 by the American Physical Society.
Figure 8. Voltage-induced changes to the magnetic moment of Co in the Fe/Co/MgO system. (a) Schematic of the sample structure. (b) Typical XAS/XMCD results around the Co-absorption edges. (c) Voltage-induced change to the orbital magnetic moment in Co. (d) Voltage-induced changes to the effective spin magnetic moment (mS − 7mT) in Co. Reprinted figure with permission from [113], Copyright 2017 by the American Physical Society.
Micromachines 10 00327 g008
Figure 9. Voltage-induced changes to the magnetic moment of Pt in the Fe/Pt/MgO system. (a) Schematic of the sample structure and its high-angle annular dark-field scanning transmission electron microscopy (HAADF-STEM) image. (b) Typical XAS/XMCD results around the Pt-absorption edges. (c) Voltage-induced change to the orbital magnetic moment in Pt. (d) Voltage-induced changes to the effective spin magnetic moment (mS − 7mT) in Pt. Reproduced from [116]. CC BY 4.0.
Figure 9. Voltage-induced changes to the magnetic moment of Pt in the Fe/Pt/MgO system. (a) Schematic of the sample structure and its high-angle annular dark-field scanning transmission electron microscopy (HAADF-STEM) image. (b) Typical XAS/XMCD results around the Pt-absorption edges. (c) Voltage-induced change to the orbital magnetic moment in Pt. (d) Voltage-induced changes to the effective spin magnetic moment (mS − 7mT) in Pt. Reproduced from [116]. CC BY 4.0.
Micromachines 10 00327 g009
Figure 10. Scalability issue for voltage-torque MRAMs. The dependence of the required KPMAtfree and VCMA coefficient on the diameter of the MTJ was estimated for each thermal stability factor (Δ0).
Figure 10. Scalability issue for voltage-torque MRAMs. The dependence of the required KPMAtfree and VCMA coefficient on the diameter of the MTJ was estimated for each thermal stability factor (Δ0).
Micromachines 10 00327 g010
Figure 11. (a) Bias voltage dependence of normalized tunnel magnetoresistance (TMR) curves measured under in-plane magnetic fields for an orthogonally magnetized MTJ consisting of Cr/ultrathin Fe (0.44 nm)/MgO/Fe (10 nm). The inset shows a cross-sectional TEM image of the MTJ. (b) Applied electric-field dependence of KPMAtFe values. The inset displays an example of a normalized M-H curve. KPMA was evaluated from the yellow-colored area with the saturation magnetization value that was obtained by a SQUID measurement. Reprinted figure with permission from [133], Copyright 2017 by the American Physical Society.
Figure 11. (a) Bias voltage dependence of normalized tunnel magnetoresistance (TMR) curves measured under in-plane magnetic fields for an orthogonally magnetized MTJ consisting of Cr/ultrathin Fe (0.44 nm)/MgO/Fe (10 nm). The inset shows a cross-sectional TEM image of the MTJ. (b) Applied electric-field dependence of KPMAtFe values. The inset displays an example of a normalized M-H curve. KPMA was evaluated from the yellow-colored area with the saturation magnetization value that was obtained by a SQUID measurement. Reprinted figure with permission from [133], Copyright 2017 by the American Physical Society.
Micromachines 10 00327 g011
Figure 12. Fe thickness dependence of the VCMA coefficient observed in a Cr/ultrathin Fe(tFe)/MgO/Fe structure. A large VCMA coefficient with nonlinear behavior was found in the thinner Fe thickness range, tFe < 0.6 nm (blue dots). Reprinted figure with permission from [133], Copyright by the American Physical Society.
Figure 12. Fe thickness dependence of the VCMA coefficient observed in a Cr/ultrathin Fe(tFe)/MgO/Fe structure. A large VCMA coefficient with nonlinear behavior was found in the thinner Fe thickness range, tFe < 0.6 nm (blue dots). Reprinted figure with permission from [133], Copyright by the American Physical Society.
Micromachines 10 00327 g012
Figure 13. (a) HAADF-STEM images of a multilayer structure of Cr/ultrathin Ir-doped Fe/MgO. Inter-diffused Ir atoms can be identified by atomic-scale Z-contrast HAADF-STEM imaging as indicated by the yellow arrows. (b) Comparison of the polar MOKE hysteresis curves for pure Fe (1 nm)/MgO and Fe (1 nm)/Ir (0.1 nm)/MgO structures. (c) Dependence of the intrinsic interface magnetic anisotropy energy, Ki,0, on the thickness of the Ir layer. Reproduced from [35]. CC BY 4.0.
Figure 13. (a) HAADF-STEM images of a multilayer structure of Cr/ultrathin Ir-doped Fe/MgO. Inter-diffused Ir atoms can be identified by atomic-scale Z-contrast HAADF-STEM imaging as indicated by the yellow arrows. (b) Comparison of the polar MOKE hysteresis curves for pure Fe (1 nm)/MgO and Fe (1 nm)/Ir (0.1 nm)/MgO structures. (c) Dependence of the intrinsic interface magnetic anisotropy energy, Ki,0, on the thickness of the Ir layer. Reproduced from [35]. CC BY 4.0.
Micromachines 10 00327 g013
Figure 14. (a) Bias voltage dependence of normalized TMR curves measured under in-plane magnetic fields for an orthogonally-magnetized MTJ consisting of Cr/Ir-doped Fe(0.82 nm)/MgO/Fe(10 nm). (b) Applied electric-field dependence of KPMAtFeIr. The inset shows an example of voltage-induced FMR excitation measured by a homodyne detection technique, which proves the high speed responsiveness of the observed VCMA effect. Reproduced from [35]. CC BY 4.0.
Figure 14. (a) Bias voltage dependence of normalized TMR curves measured under in-plane magnetic fields for an orthogonally-magnetized MTJ consisting of Cr/Ir-doped Fe(0.82 nm)/MgO/Fe(10 nm). (b) Applied electric-field dependence of KPMAtFeIr. The inset shows an example of voltage-induced FMR excitation measured by a homodyne detection technique, which proves the high speed responsiveness of the observed VCMA effect. Reproduced from [35]. CC BY 4.0.
Micromachines 10 00327 g014
Figure 15. First principles calculations of the electric-field induced magnetic anisotropy energy change in an Ir-doped Fe/MgO system. (a) Supercell structure used for the calculation, consisting of MgO (5 ML)/FeIr (5 ML)/MgO (5 ML). (b) Atomic-resolved magnetic anisotropy energies (MAE) change induced by an electric-field of 0.1 V/nm in MgO. The Ir concentration was maintained at about 6% in the FeIr layer. (c) The electric-field induced MAE arising from second-order perturbation of the spin-orbit coupling for Ir atoms in layers 1 and 2. Reproduced from [35]. CC BY 4.0.
Figure 15. First principles calculations of the electric-field induced magnetic anisotropy energy change in an Ir-doped Fe/MgO system. (a) Supercell structure used for the calculation, consisting of MgO (5 ML)/FeIr (5 ML)/MgO (5 ML). (b) Atomic-resolved magnetic anisotropy energies (MAE) change induced by an electric-field of 0.1 V/nm in MgO. The Ir concentration was maintained at about 6% in the FeIr layer. (c) The electric-field induced MAE arising from second-order perturbation of the spin-orbit coupling for Ir atoms in layers 1 and 2. Reproduced from [35]. CC BY 4.0.
Micromachines 10 00327 g015
Figure 16. Spin polarized local density of states of Ir-5d orbitals and magnetic anisotropy energy as a function of the band energy in layer 2.
Figure 16. Spin polarized local density of states of Ir-5d orbitals and magnetic anisotropy energy as a function of the band energy in layer 2.
Micromachines 10 00327 g016
Figure 17. (a) Experimental setup for evaluating the WER of an MTJ. (b) Pulsed-voltage-driven magnetization switching in a p-MTJ. (c) WER as a function of Δ obtained from numerical simulations.
Figure 17. (a) Experimental setup for evaluating the WER of an MTJ. (b) Pulsed-voltage-driven magnetization switching in a p-MTJ. (c) WER as a function of Δ obtained from numerical simulations.
Micromachines 10 00327 g017
Figure 18. Example of the optimized WER as a function of tpulse observed in a perpendicularly-magnetized MTJ consisting of Ta/(Co50Fe50)80B20/MgO/reference layer. The blue and red symbols represent the WER of parallel (P) to antiparallel (AP) and AP to P switching, respectively. Reprinted figure with permission from [109], Copyright 2019 by the IOP Publishing Ltd.
Figure 18. Example of the optimized WER as a function of tpulse observed in a perpendicularly-magnetized MTJ consisting of Ta/(Co50Fe50)80B20/MgO/reference layer. The blue and red symbols represent the WER of parallel (P) to antiparallel (AP) and AP to P switching, respectively. Reprinted figure with permission from [109], Copyright 2019 by the IOP Publishing Ltd.
Micromachines 10 00327 g018
Figure 19. (a) Contour plot of energy density in the absence of a bias voltage. (b) Appearance of a local peak in the WER observed in an MTJ consisting of Ta/(Co30Fe70)80B20 (1.1 nm)/MgO/reference layer. The filled circles and the lines represent experimental data and numerical simulations, respectively. Reprinted figure with permission from [107], Copyright 2018 by the American Physical Society.
Figure 19. (a) Contour plot of energy density in the absence of a bias voltage. (b) Appearance of a local peak in the WER observed in an MTJ consisting of Ta/(Co30Fe70)80B20 (1.1 nm)/MgO/reference layer. The filled circles and the lines represent experimental data and numerical simulations, respectively. Reprinted figure with permission from [107], Copyright 2018 by the American Physical Society.
Micromachines 10 00327 g019
Figure 20. (a)–(c) Effects of pulse shaping on magnetization trajectory. The red and green lines represent the magnetization trajectory during and after application of the pulse, tpulse, respectively. (d), (e) WER minimum as a function of rise time (blue symbols) and fall time (red symbols). (d) experimental results; (e) numerical simulation results. Reprinted figure with permission from [108], Copyright 2019 by the American Physical Society.
Figure 20. (a)–(c) Effects of pulse shaping on magnetization trajectory. The red and green lines represent the magnetization trajectory during and after application of the pulse, tpulse, respectively. (d), (e) WER minimum as a function of rise time (blue symbols) and fall time (red symbols). (d) experimental results; (e) numerical simulation results. Reprinted figure with permission from [108], Copyright 2019 by the American Physical Society.
Micromachines 10 00327 g020
Figure 21. (a) Comparison of write pulse sequence in conventional and inverse bias methods. (b) Numerically obtained WER as a function of Δ using two different methods.
Figure 21. (a) Comparison of write pulse sequence in conventional and inverse bias methods. (b) Numerically obtained WER as a function of Δ using two different methods.
Micromachines 10 00327 g021

Share and Cite

MDPI and ACS Style

Nozaki, T.; Yamamoto, T.; Miwa, S.; Tsujikawa, M.; Shirai, M.; Yuasa, S.; Suzuki, Y. Recent Progress in the Voltage-Controlled Magnetic Anisotropy Effect and the Challenges Faced in Developing Voltage-Torque MRAM. Micromachines 2019, 10, 327. https://doi.org/10.3390/mi10050327

AMA Style

Nozaki T, Yamamoto T, Miwa S, Tsujikawa M, Shirai M, Yuasa S, Suzuki Y. Recent Progress in the Voltage-Controlled Magnetic Anisotropy Effect and the Challenges Faced in Developing Voltage-Torque MRAM. Micromachines. 2019; 10(5):327. https://doi.org/10.3390/mi10050327

Chicago/Turabian Style

Nozaki, Takayuki, Tatsuya Yamamoto, Shinji Miwa, Masahito Tsujikawa, Masafumi Shirai, Shinji Yuasa, and Yoshishige Suzuki. 2019. "Recent Progress in the Voltage-Controlled Magnetic Anisotropy Effect and the Challenges Faced in Developing Voltage-Torque MRAM" Micromachines 10, no. 5: 327. https://doi.org/10.3390/mi10050327

Note that from the first issue of 2016, this journal uses article numbers instead of page numbers. See further details here.

Article Metrics

Back to TopTop