Next Article in Journal
Capabilities and Limitations of Fire-Shaping to Produce Glass Nozzles
Next Article in Special Issue
On Relationships between Gas-Phase Chemistry and Reactive Ion Etching Kinetics for Silicon-Based Thin Films (SiC, SiO2 and SixNy) in Multi-Component Fluorocarbon Gas Mixtures
Previous Article in Journal
A Novel Micro-Displacement Sensor Based on Double Optical Fiber Probes Made through Photopolymer Materials
 
 
Font Type:
Arial Georgia Verdana
Font Size:
Aa Aa Aa
Line Spacing:
Column Width:
Background:
Article

Plasma Parameters and Etching Characteristics of SiOxNy Films in CF4 + O2 + X (X = C4F8 or CF2Br2) Gas Mixtures

1
Department of Control and Instrumentation Engineering, Korea University, Sejong 30019, Korea
2
Department of Electronic Devices & Materials Technology, State University of Chemistry & Technology, 7 Sheremetevsky av, 153000 Ivanovo, Russia
*
Author to whom correspondence should be addressed.
Materials 2020, 13(23), 5476; https://doi.org/10.3390/ma13235476
Submission received: 9 November 2020 / Revised: 24 November 2020 / Accepted: 27 November 2020 / Published: 1 December 2020
(This article belongs to the Special Issue Etching Kinetics and Mechanisms of Thin Films)

Abstract

:
In this work, we carried out the study of CF4 + O2 + X (X = C4F8 or CF2Br2) gas chemistries in respect to the SiOxNy reactive-ion etching process in a low power regime. The interest in the liquid CF2Br2 as an additive component is motivated by its generally unknown plasma etching performance. The combination of various diagnostic tools (double Langmuir probe, quadrupole mass-spectrometry, X-ray photoelectron spectroscopy) allowed us to compare the effects of CF4/X mixing ratio, input power and gas pressure on gas-phase plasma characteristics as well as to analyze the SiOxNy etching kinetics in terms of process-condition-dependent effective reaction probability. It was found that the given gas systems are characterized by: (1) similar changes in plasma parameters (electron temperature, ion current density) and fluxes of active species with variations in processing conditions; (2) identical behaviors of SiOxNy etching rates, as determined by the neutral-flux-limited process regime; and (3) non-constant SiOxNy + F reaction probabilities due to changes in the polymer deposition/removal balance. The features of CF4 + CF2Br2 + O2 plasma are lower polymerization ability (due to the lower flux of CFx radicals) and a bit more vertical etching profile (due to the lower neutral/charged ratio).

1. Introduction

Silicon dioxide (SiO2) and silicon nitride (Si3N4) are two indispensable dielectric materials traditionally used as gate dielectrics in field-effect structures, hard masks for patterning of various functional layers, spacer insulators as well as passivation and protective coatings in micro- and nano-electronic devices [1,2,3]. The silicon oxynitride (SiOxNy) also exhibits a dielectric nature and was found to be a perspective material for photo-electronic device applications. Particularly, it is characterized by low optical loss (high optical transparency in the infra-red wavelength region) as well as by a wide-range refractive index which may be adjusted by the change in the O/N stoichiometry [4,5].
Since most of the above applications for silicon-bases dielectric materials require precision patterning of preliminary deposited continuous layers, the development of a suitable “dry” (plasma-assisted) etching process is an essential problem for obtaining both an accurate pattern transfer and desirable device parameters. In fact, this requires the understanding of etching mechanisms that allow one to adjust etching kinetics and output process parameters (etching rate, etching selectivity and anisotropy, surface damage and residues) through the deliberate choice of processing conditions. When summarizing the previous etching experience for SiO2 [6,7,8,9,10,11,12,13,14,15], Si3N4 [8,9,10,11,12,13,14,15] and SiOxNy [16,17,18], the following conclusions can be made:
1)
The patterning of these materials is normally provided by the CxFy gas family (CF4, C2F6, C3F6, C4F8) under reactive-ion etching (RIE) conditions. The mixing of any fluorocarbon gas with Ar and/or O2 as well as the combination of two CxFy components with different C/F ratios in one gas mixture allows the flexible adjustment of etching kinetics, residues and pattern profile through changes in both F atom density and deposition/removal balance for the fluorocarbon polymer film [8,11,12,13,14].
2)
The heterogeneous reaction F + Si-O → Si-F + O has a sufficient energy threshold (as the Si-O bond of ~799 kJ/mol is stronger than the Si-F one of ~552 kJ/mol [19]) and cannot occur spontaneously at typical process temperatures. Accordingly, the dry etching of SiO2 requires ion bombardment which produces adsorption sites for F atoms (through the destruction of Si-O bonds) and cleans the surface from non-saturated SiFx compounds [2,6,7,8,9,20]. Ion energies above 150–200 eV surely provide the reaction-rate-limited etching regime where the change in SiO2 etching rate follows the behavior of F atom density [2,6,21].
3)
The heterogeneous reaction F + Si-N → Si-F + N is possible (as the Si-N bond of ~470 kJ/mol is weaker compared with the Si-F one [19]), but the Si3N4 etching rate exhibits an evident acceleration by ion bombardment [12]. The latter is probably due to the higher adsorption probability of etchant species on partially liberated silicon atoms. Accordingly, under conditions of the RIE process, Si3N4 also demonstrates the reaction-rate-limited etching regime [9,10,11,12] and is characterized by much higher absolute etching rates compared with SiO2 [11,12]. In addition, the thickness of the fluorocarbon polymer film on Si3N4 was found to be higher compared with SiO2 (that looks quite expectable for oxygen-free and oxygen-containing surfaces), but lower than that on Si [8,15]. The last effect is obviously connected with the lower sticking probability for polymerizing radicals [13].
4)
Etching characteristics of SiOxNy films has received much less attention compared with silicon dioxide and silicon nitride. From several published works, it can be understood that: (a) under typical RIE conditions, SiOxNy etching kinetics is also controlled by the F atom density [22]; (b) an increase in O/N ratio expectably reduces the SiOxNy etching rate [17,18], as this corresponds to the transition from Si3N4 to SiO2; and (c) the etching damage changes the O/N ratio on the treated surface and thus influences the refractive index in respect to the as-deposited film [16,17]. At the same time, existing studies do not provide a comparison of etching kinetics and mechanisms with different gas chemistries, including chemistries with two fluorocarbon components. Such a situation retards the development of the effective dry etching technology for SiOxNy films.
It should be mentioned that the common problem of all fluorocarbon gases used in SiO2, Si3N4 and SiOxNy reactive-ion etching processes is their high global warming potential (GWP) due to the destructive effect on the ozone layer [23]. Recently, environmental pollution and resource consumption have received increasing attention in the semiconductor industry, and several studies on the eco-friendly manufacturing processes have been conducted [24,25]. One way for improving the situation is to replace conventional high-GWP process chemistries with those that exhibit a reduced environmental impact [26,27]. That is why several low-GWP fluorocarbon compounds have been tested for application in plasma-assisted etching processes [28,29,30]. Among those, CF2Br2 shows a considerably low GWP index of 231 (compared with over 8000 for the conventional CxFy family [23]) and exists in the liquid state at room temperature [31,32]. The latter means much easier trapping and/or recovery procedures compared with gaseous fluorocarbon compounds. At the same time, the etching performance of CF2Br2 in respect to silicon-based materials is generally not known. As such, the uncertain relationships between processing conditions, plasma parameters and etching kinetics are reasons for real perspectives of CF2Br2 to be a replacement for widely used gaseous fluorocarbons and thus, retard the transition to environmental-friendly dry etching technologies.
The main idea of the current study was to investigate the reactive-ion etching kinetics, etching mechanism and surface states for SiOxNy films in CF4 + O2 + X (X = C4F8 or CF2Br2) inductively coupled plasmas under one and the same operation conditions. Accordingly, the main goals were: (1) to compare performances of high-GWP C4F8 and low-GWP CF2Br2 as additive components to adjust the SiOxNy etching characteristics, such as etching rate, etching residues and etching profile; (2) to study interconnections between processing parameters (input power, gas pressure and CF4/X mixing ratios), plasma chemistry and gas-phase plasma characteristics (electron temperature, energy of ion bombardment, densities and fluxes of plasma active species); and (3) to analyze the SiOxNy etching kinetics in order to formulate reasonable approaches on etching mechanisms in given gas systems. In addition, we focused our attention on the low power etching regime that produces at least ten times lower plasma density compared with the conventional reactive-ion etching process. According to Refs. [33,34], such a regime is characterized by both more anisotropic etching (probably, due to the lower neutral/charged ratio) and weaker surface damage because of reduced ion flux. The latter effect allows one to minimize the degradation of electric characteristics for dielectric materials. Since all these questions have not been studied yet, the corresponding data are expected to be quite useful for understanding features of SiOxNy etching with CF2Br2 gas and thus, for the optimization of dry etching technology towards environmental-friendly processes.

2. Experimental Part and Data Analysis

2.1. Experimental Setup and Procedures

Both etching and plasma diagnostics experiments were performed in the planar inductively coupled plasma (ICP) (Homemade ICP etching system) reactor; the same as that used in our previous studies [6,22,35]. Cylindrical (r = 16 cm, l = 13 cm) reactor chamber was made from anodized aluminum. Plasma was excited using the 13.56 MHz power supply connected to the copper coil at the top of the chamber through the matching network. Another 12.56 MHz rf generator biased the bottom electrode (the substrate holder) to control the ion bombardment energy through the negative dc bias voltage ( U d c ) . The latter was measured using a high-voltage probe (AMN-CTR, Youngsin-RF Co., Ltd, Seoul, Korea) The bottom electrode had a built-in water-flow cooling system that allowed us to maintain its temperature ( T s ) at the nearly constant value of ~17 °C within the processing times τ ~5 min. The variable process parameters were input power (=100–500 W), gas pressure ( p = 4–10 mTorr) and the composition of CF4 + O2 + X (X = C4F8 or CF2Br2) feed gas. The latter was set by various partial flow rates for fluorocarbon components at fixed both O2 flow rate (4 sccm) and the total gas flow rate (44 sccm). Accordingly, the fraction of O2 in a feed gas, y O 2 , was always~ 10%, and the change in the partial flow rate for the X gas in the range of 0–40 sccm provided the full substitution of CF4 for C4F8 or CF2Br2 (i.e., to the transition between 90% CF4 + 10% O2 and 90% X + 10% O2 gas systems). In addition, the bias power ( W d c ) was always kept at a constant level of 400 W. Such situation corresponded to the variable U d c value, according to the dependence of positive ion flux on other processing conditions.
Plasma parameters and gas-phase composition were investigated using a combination of Langmuir probe diagnostics and quadrupole mass-spectrometry. The double Langmuir probe (DLP2000, Plasmart Inc, Deajeon, Korea) provided the information on electron temperature ( T e ) and ion current density ( J + ). The treatment of raw I–V curves was based on the well-known procedure described in Refs. [36,37]. In order to minimize experimental errors due to the deposition of fluorocarbon polymer on probe tips, the latter were cleaned in 50% Ar + 50% O2 plasma before and after each measurement. Our previous works have demonstrated the efficiency of such procedure to obtain adequate diagnostics results [22,35,38]. The residual gas analyzer (LEYSPEC view 200S, Leybold GmbH Inc, Cologne, Germany) with a quadruple filter was used to determine steady-state densities of neutral species in the plasma on regime. For this purpose, the gas was continuously collected directly from the reactor chamber and then, ionized by the 50 eV electron beam that allowed us to neglect the formation of multi-charge ions. Measurements were conducted in the range of 1–200 atomic mass units. The species of primary interest were F atoms (as the main chemical etchants) and CFx (x = 1, 2) radicals (as the main polymerizing agents).
In order to study the etching kinetics for SiOxNy films, the latter were deposited on Si (111) wafer using the plasma-enhanced chemical vapor deposition (PECVD) (AMAT, Santa Clara, CA, USA) method. The precursor gases were Si3H4, O2, and N2. The combination of substrate temperature of 400 °C and a deposition time of 15 min provided a uniform film with a thickness of ~1 μm. For etching experiments, we used the fragments of whole wafer with a size of about 2 × 2 cm which were placed at the middle part of the bottom electrode. The small sample size allowed us to neglect the loading effect as well as to provide the etching regime controlled by heterogeneous process kinetics. Preliminary experiments showed no principal differences in both raw I–V curves and related plasma parameters obtained with and without sample loading. Therefore, one can neglect the sensitivity of gas-phase plasma parameters to etching products as well as consider the gas phase to be the permanent source of active species. Etched depths ( Δ h ) were determined using the surface profiler Alpha-Step 500 (Tencor, Milpitascity, CA, USA) for the processing time τ = 1 min. For this purpose, we developed a partial surface masking by the photoresist AZ1512 with a thickness of ~ 1.5 μm. The quasi-linear shape of Δ h = f ( τ )   curves in both gas systems allowed us to assume the steady-state etching kinetics as well as pointed out the very weak change in the sample temperature within given processing times. That is why we found the SiOxNy etching rate simply as R = Δ h / τ as well as ignored the sample temperature-related effects when analyzing the etching kinetics. The chemical compositions of plasma-treated SiOxNy surfaces were examined using X-ray photoelectron spectroscopy (K-Alpha, Thermo VG, UK) with Mg Kα 1253.6 eV radiation operating at 260W. The binding energies were calibrated using C(1s) peak at 284.5eV.
In order to study the SiOxNy etching profile, we prepared a hole-type pattern with a diameter of 500 nm using the α-Si hard mask. The mask layer with a thickness of ~250 nm was deposited over the SiOxNy film by PECVD from Si3H4 atmosphere at 400 °C. The profiles of hole structures with equal depths (i.e., obtained after different processing times) in 45% CF4 + 45% C4F8 + 10% O2 and 45% CF4 + 45% CF2Br2 + 10% O2 plasmas were controlled by the field-emission scanning electron microscope (MIRA3 LMH, TESCAN).

2.2. Approaches for the Analysis of Etching Kinetics

For the phenomenological analysis of SiOxNy etching kinetics, one can account for known features of the reactive-ion etching process for SiO2 and Si3N4 in fluorocarbon-based plasmas [13,14,15,22,35,38,39,40]. These are as follows:
1)
The experimentally obtained etching rate R is composed of two summands, R p h y s + R c h e m . The first summand represents the rate of physical sputtering Y S Γ + [38,39], where Y S ~ ε i [39] is the sputtering yield, ε i = | U f U d c | is the ion bombardment energy, U f 0.5 T e ln ( m e / 2.3 m i ) is the floating potential, and Γ + J + / e is the flux of positive ions. The second summand represents the rate of ion-assisted chemical reaction γ R Γ F [22,35,38], where γ R = s 0 ( 1 θ ) [22,35] is the effective reaction probability, s 0 is the sticking probability for etchant species on the free adsorption site, and θ is the fraction of adsorption sites occupied by reaction products, and Γ F 0.25 n F υ T is the thermal flux of F atoms with the gas-phase density of n F . As such, even if the nearly constant surface temperature provides s 0 ≈ const, γ R exhibits the sensitivity to changes in processing conditions through the fraction of free adsorption sites ( 1 θ ) .
2)
The growth of the fluorocarbon polymer film is provided by non-saturated fluorocarbon species with two and more free bonds [13,14,15,40]. In given gas systems, these are CF2 and CF radicals.
3)
The decomposition of the fluorocarbon polymer film appears through both physical (sputtering by ion bombardment) and chemical (interaction with oxygen atoms) pathways [13,14,39]. Under the given process conditions (low-oxygenated plasma, constant O2 fraction in a feed gas), the relative change in the polymer film thickness may be traced by the parameter Γ p o l / ε i Γ + , where Γ p o l =   Γ C F 2 + Γ C F is the total flux of polymerizing species coming from a gas phase.

3. Results and Discussion

3.1. Etching Rates and Phenomenological Etching Kinetics

Figure 1a, Figure 2a and Figure 3a illustrate how the SiOxNy etching rate depends on CF4/X (X = C4F8 or CF2Br2) mixing ratio, input power and gas pressure. When analyzing these data while accounting for the previous etching experience of silicon-based materials in fluorocarbon gas plasmas [2,3,41,42,43], some preliminary conclusions concerning SiOxNy etching kinetics and etching mechanisms can be made. First, similar behaviors of SiOxNy etching rates for the cases of X = C4F8 and CF2Br2 probably mean that etching processes in both gas mixtures appear in one and the same etching regime and are driven by one and the same active species. In fact, this points to principally identical etching mechanisms as well as similar process optimization algorithms through variations in input plasma parameters. Second, an increase in SiOxNy etching rate vs. input power (Figure 2a) and gas pressure (Figure 3a) looks quite typical for the reaction-rate-limited etching regime which is controlled by the gas-phase density and flux of fluorine atoms. As such, one can assume the ion-assisted heterogeneous chemical reaction Si + xF → SiFx to be the process-limiting stage as well as neglect the impact from the side of Br atoms in the case of X = CF2Br2. The last suggestion becomes reasonable when taking into account the much lower reaction probability in the Si/Br system compared with the Si/F one [44]. Such effect is normally attributed to the bigger size of a Br atom that retards its penetration inside the lattice and leads to the formation of low volatile non-saturated SiBrx compounds [44,45,46]. Thirdly, within the above etching mechanism, a decrease in SiOxNy etching rates toward C4F8- and CF2Br2-rich plasmas (Figure 1a) may result from corresponding behaviors of F atom fluxes. In the case of X = C4F8, such asserting is in good agreement with previously published data for CF4- and C4F8-based plasmas which were obtained using the combination of plasma diagnostics and modeling tools. Particularly, Chun et al. [47] reported a lower F atom density in the weakly oxygenated C4F8 + O2 + Ar plasma compared with CF4 + O2 + Ar one under one and the same operating conditions. In addition, Refs. [35,38] directly demonstrated a decrease in F atoms density when substituting the CF4 for C4F8 in the CF4 + C4F8 + Ar gas mixture. Such a situation is provided by an increase in F atom decay rate through their interaction with C2F4 species [35,38].

3.2. Plasma Parameters and Densities of Active Species

In order to obtain more details concerning the SiOxNy etching mechanism, the data on both electron (electron temperature, electron density) an ion (ion flux, ion bombardment energy) related plasma parameters as well as on fluxes of neutral active species (F atoms, polymerizing radicals) are required. For this purpose, we applied plasma diagnostics by Langmuir probes and quadrupole mass-spectrometry. Results represented in Figure 1b,c, Figure 2b,c and Figure 3b,c may be commented on as follows:
-
An increase in T e towards higher fractions of X gas (3.6–4.2 eV for X = C4F8 vs. 3.6–4.0 eV for X = CF2Br2 at p = 10 mTorr and W = 100 W, see Figure 1b) obviously points out on decreasing electron energy losses in inelastic processes with dominant neutral species. A similar effect has been reported for the CF4 + C4F8 + Ar gas mixture after the substitution of CF4 for C4F8 [35,38]. Accordingly, the growth of n + and J + (0.032–0.047 mA/cm2 for X = C4F8 vs. 0.032–0.044 mA/cm2 for X = CF2Br2 at p = 10 mTorr and W = 100 W, see Figure 1b) in the case of X = C4F8 may be surely associated with (a) increasing ionization rate coefficients for all neutral species; (b) increasing numbers of particles with lower ionization thresholds (since the dominant gas-phase component changes from CF4 and F in CF4-rich plasmas to CF2 and CF in C4F8-rich plasmas, as shown in Refs. [35,38]); and c) lower electronegativity of the C4F8 plasma compared with CF4 one [47,48,49]. The latter means that a decrease in the CF4/C4F8 mixing ratio retards the decay of positive ions and electrons through ion–ion recombination and dissociative attachment, respectively. Probably, similar mechanisms also do work in the case of X = CF2Br2. An indirect proof is the similar changes in densities of F atoms and CFx (x = 1, 2) radicals in both gas systems (Figure 1d). From Figure 1c, it can be seen that, in both gas mixtures, a decrease in U d c (650–551 V for X = C4F8 vs. 650–527 V for X = CF2Br2 at p = 10 mTorr and W = 100 W, see Figure 1c) does not compensate for increasing ion flux. As a result, one can obtain increasing efficiency of the physical etching pathway, as follows from the change in ε i Γ + (Figure 1c).
-
An increase in T e toward higher input powers (3.9–4.4 eV for X = C4F8 vs. 3.8–4.3 eV for X = CF2Br2 at 50% of X gas and p = 10 mTorr, see Figure 2b) probably results from a decrease in electron energy losses for vibrational and electronic excitations. This effect is provided by increasing electron-impact dissociation rates for multi-atomic components which enriches the gas phase by less saturated radicals and atomic species. Accordingly, the monotonic increase in J + (0.043–0.057 mA/cm2 for X = C4F8 vs. 0.041–0.052 mA/cm2 for X = CF2Br2 at 50% of X gas and p = 10 mTorr, see Figure 2b) is due to same changes in total ionization rates which are accelerated by the growth of electron density. The corresponding relationship between W and n e may be easily traced through the input power balance equation [20]. In addition, the growth of ion flux overlaps the weak decrease in U d c (Figure 2c) and causes an intensification of the physical etching pathway ( ε i Γ +   = 6.6 × 1015–8.7 × 1015 eV1/2cm−2s−1 for X = C4F8 vs. 6.1 × 1015–7.6 × 1015 eV1/2cm−2s−1 for X = CF2Br2 at 50% of X gas and p = 10 mTorr, see Figure 2c). Such situation is quite typical for many fluorocarbon-based plasmas under the conventional reactive-ion etching conditions [41,42,43].
-
A decrease in T e toward higher pressures (4.2–3.9 eV for X = C4F8 vs. 4.1–3.8 eV for X = CF2Br2 at 50% of X gas and W = 100 W, see Figure 3b) is due to an increase in both electron-neutral collision frequency and the overall electron energy loss. A similar tendency for J + (0.053–0.043 mA/cm2 for X = C4F8 vs. 0.050–0.041 mA/cm2 for X = CF2Br2 at 50% of X gas and W = 100 W, see Figure 3b) mainly follow the behavior of n + . The latter is suppressed by decreasing ionization rate coefficients (due to the change in T e ) as well as by increasing ion loss rates (due to increasing plasma electronegativity and negative ion density). Accordingly, the combination of decreasing ion flux and the nearly constant U d c retards the physical etching pathway at the high pressure end (Figure 3c). Similar effects have been repeatedly reported for various gas systems [2,41,42,43].
When summarizing the above data, one can conclude that both gas mixtures are very similar with respect to electron-impact kinetics and ion-related plasma parameters. Although the case of X = CF2Br2 is featured by the systematically lower plasma density and ion energy flux, the maximum gap between corresponding values for 90% C4F8 + 10% O2 and 90% CF2Br2 + 10% O2 gas mixtures is below 10%. As such, one can suggest the almost identical efficiencies of physical etching pathways (sputtering of target surface, desorption of low volatile reaction products and decomposition of fluorocarbon polymer film) as well as attribute differences in densities of neutral species to chemical properties of original C4F8 and CF2Br2 molecules. It is important to note that the low input power etching regime keeps most principal features of the conventional reactive-ion etching process. This conclusion follows from similar tendencies in both electron- and ion-related plasma parameters with those from Refs. [35,38] as functions of the CF4/C4F8 mixing ratio.
Figure 1d, Figure 2d and Figure 3d illustrate the influence of processing conditions on steady-state densities of selected neural species. From Figure 1d, it can be seen that an increase in the fraction of X gas lowers the F atom density and increases the density of CFx (x = 1, 2) radicals. In the case of X = C4F8, the behaviors of n C F 2 and n C F completely correspond to those obtained in our previous works by plasma modeling [35,38]. Particularly, the growth of n C F 2 results from the corresponding change in their formation rate due to R1: C4F8 + e → C3F6 + CF2 + e ( k 1 ~ 1.1 × 10−9 cm3/s at T e = 4 eV) and R2: C2F4 + e → 2CF2 + e ( k 2 ~ 4.8 × 10−9 cm3/s at T e = 4 eV) that follows after R3: C4F8 + e → 2C2F4 + e ( k 3 ~ 1.0 × 10−8 cm3/s at T e = 4 eV). In the CF4 plasma, the formation of CF2 radicals is the consequent two-step process (such as R4: CF4 + e → CF3 + F + e and R5: CF3 + e → CF2 + F + e) that is limited by the relatively slow R4 ( k 4 ~ 5.0 × 10−10 cm3/s at T e = 4 eV). Accordingly, the density of CF always traces that for CF2, as these are linked through R6: CF2 + e → CF + F + e [38,47,48]. Finally, decreasing density of fluorine atoms is due to their effective decay in the gas-phase process R7: F + C2F4 → CF2 + CF3 ( k 7 ~ 10–11 cm3/s) [38,47]. In the case of X = CF2Br2, the similar situation may take place only if (a) the CF2Br2 is the better source of CF2 radicals compared with CF4; and (b) the CF2Br2 is the worse source of F atoms and/or provides their additional decay channel, by analogy with R7. From Ref. [19], it can be understood that the dissociation energy for the C-Br bond (~318 kJ/mol) is much lower compared with the C-F one (~514 kJ/mol). That is why one can imagine the dominant dissociation pathway for CF2Br2 molecules as the consequent reaction mechanism in a form of R8: CF2Br2 + e → CF2Br + Br + e and R9: CF2Br + e → CF2 + Br + e. The evident proof is that, according to our QMS data, the condition n B r > n F surely takes place when the fraction of CF2Br2 exceeds 40%. Thought R8-R9 is also the two-step process in respect to the formation of CF2 radicals, it may be more effective compared with the couple of R4-R5. Reasons are the lower threshold energy, the bigger process cross-section (due to the bigger parent particle size) as well as the acceleration of electron-impact kinetics toward CF2Br2-rich plasmas, as follows from Figure 1b. Another important feature is the high recombination probability for Br atoms which results in the effective formation of Br2 molecules even if those are absent in a feed gas [50,51]. As such, the reaction R10: F + Br2 → BrF + Br ( k 10 ~ 2.0 × 10−10 cm3/s [52]) may easily play the role of R7 to decrease the density of F atoms with increasing fraction of CF2Br2. Finally, the comparison of two gas systems in the quantitative scale allows one to conclude that:
1)
The case of X = CF2Br2 provides the systematically lower (by ~30%) density of F atoms that corresponds to lower flux of these species to the etched surface and thus, to the lower etching performance.
2)
The case of X = C4F8 provides a systematically higher density of polymerizing CFx (x = 1, 2) radicals which corresponds to higher polymerizing flux and thus, higher deposition rate for the fluorocarbon polymer film. The last fact is supported by direct experiment confirmation after the XPS analysis of the plasma-treated surfaces. Particularly, Figure 4 shows that the treatment in 90% C4F8 + 10% O2 plasma produces much more residual CFx compounds than that for the 90% C4F8 CF2Br2 + 10% O2 gas system. Since O2 content and ion energy flux in both gas systems are rather close, such sufficient difference in surface conditions may be related only to different polymerizing fluxes coming from a gas phase.

3.3. Etching Mechanism and Profile Features

From Figure 1 and Figure 3, it can be seen that the behavior of SiOxNy etching rate vs. CF4/X (X = C4F8 or CF2Br2) mixing ratio and gas pressure contradicts that for the ion energy flux (since the latter is traced by the parameter ε i Γ + ) while following the change in the F atom flux. Such a situation obviously confirms the earlier made suggestion on the reaction-rate-limited etching regimes in both gas systems under the given set of operating conditions. Another important conclusion that follows from the above data is that R c h e m >> R p h y s and R R c h e m . In order to evaluate the real contribution of R p h y s to the measured etching rate, one can refer for indirect data on SiOxNy sputtering yields [53,54]. From this work, one can conclude that the ion bombardment energy of ~600 eV provides Y S ~1.2 atom/ion. For given process conditions, the latter may be assumed to be a constant because of rather weak changes in both U d c (see Figure 1c, Figure 2c and Figure 3c) and ε i . Therefore, as the fraction of additive X gas changes from 0–90%, R p h y s occupies the ranges of 2.4 × 1014–3.5 × 1014 cm−2c−1 (4.9–7.3 nm/min) for X = C4F8 and 2.4 × 1014–3.5 × 1014 cm−2c−1 (4.9–6.7 nm/min) for X = CF2Br2. As such, the maximum contribution of R p h y s to the total SiOxNy etching rate slightly exceeds 10% as well as remains below 10% with variations in input power and has pressure (Figure 5). Effective reaction probabilities calculated as γ R = R c h e m / Γ F , where R c h e m = R R p h y s , exhibit process-condition-dependent natures as well as show similar behaviors for both gas mixtures (see Figure 1a, Figure 2a and Figure 3a). The analysis of these data in connection with fluxes of plasma active species allows us to suggest some common features characterizing the SiOxNy etching mechanism:
1)
The non-monotonic change in γ R with increasing CF4/X (X = C4F8 or CF2Br2) mixing ratio (Figure 1a) may be associated with the transition between thin- and thick-fluorocarbon film etching regimes [13,14,15]. In the case of y X < y C F 4 (where y are the fractions of corresponding components in a feed gas), one probably obtains either the non-continuous or the thin continuous fluorocarbon film which did not retard the access of F atoms to the etched surface. That is why the behavior of γ R follows the increasing ion energy fluxes that accelerated the chemical reaction through the destruction of Si-O and Si-N bonds. Oppositely, the case of y X > y C F 4 probably corresponds to the thick continuous fluorocarbon film which reduces the flux of F atoms at the film/SiOxNy interface. As a result, a decrease in γ R is due to an increase in the film thickness, as indicated by the change in the parameter Γ p o l / ε i Γ + (8.2–35.5 eV−1/2 for 0–90% C4F8 and 8.2–27.3 eV−1/2 for 0–90% CF2Br2).
2)
A decrease in γ R towards higher input powers (Figure 2a) under the condition of y X = y C F 4 correlates with the change in Γ p o l / ε i Γ + ratio (21.0–57.1 eV−1/2 for 100–500 W at 45% C4F8 and 18.7–28.8 eV−1/2 for 100–500 W at 45% CF2Br2) and thus, with the fluorocarbon film thickness. This is because an increase in densities and fluxes for CFx (x = 1, 2) species appears to faster compared with the ion energy flux. Obviously, the latter is suppressed by decreasing U d c and ion bombardment energy.
3)
A decrease in γ R towards higher gas pressures (Figure 3a) under the condition of y X = y C F 4 is also in agreement with increasing thickness of the fluorocarbon polymer film, as follows from the change in Γ p o l / ε i Γ + ratio (15.0–21.0 eV−1/2 for 4–10 mTorr at 45% C4F8 and 14.9–18.5 eV−1/2 for 4–10 mTorr at 45% CF2Br2). In this case, the growth of polymer film thickness is provided by a combination of increasing flux of polymerizing species and decreasing ion energy flux due to Γ + . Obviously, the latter is suppressed by both decreasing ionization rate coefficients and ion Bohm velocity.
From above data, one can conclude that SiOxNy etching mechanisms in CF4 + O2 + X (X = C4F8 or CF2Br2) plasmas exhibit many common features. The most important among these are: (a) the dominant role of the ion-assisted chemical reaction; (b) the neutral-flux-limited etching regime; and (c) the non-constant effective reaction probability that depends on the fluorocarbon polymer deposition/removal balance. Since such a situation is quite typical for many reactive-ion etching processes in fluorocarbon gas plasmas [2,41,42,43], the substitution of C4F8 for CF2Br2 for dry etching purposes will not have a significant impact on both process control algorithms and results.
Finally, Figure 6 provides the comparison of etched profiles obtained in CF4 + O2 + X (X = C4F8 or CF2Br2) plasmas. It can be seen that at the etched depth of ~600 nm, profile angles are 88.94° for X = C4F8 and 89.23° for X = CF2Br2. Since the difference between these values is quite close to the experimental error, one can only conclude that the use of liquid CF2Br2 instead of gaseous C4F8 for the purpose of the given etching process does not degrade the dimensional etching performance. At the same time, the lower polymerization ability of CF2Br2–containing plasmas (as it clearly follows from data discussed in Section 3.2) reasonably suggests the worse protection of sidewalls against F atoms and scattered ions. Therefore, the comparable etched profile in the case of X = CF2Br2 may probably be attributed to the lower neutral/charged ratio ( Γ F / ε i Γ + = 6.3 eV−1/2 for 45% C4F8 and 4.5 eV−1/2 for 45% CF2Br2).

4. Conclusions

In this work, we investigated reactive-ion etching kinetics, etching mechanism and surface conditions for SiOxNy films in CF4 + O2 + X (X = C4F8 or CF2Br2) inductively coupled plasmas in a low-power regime. The use of the lower power etching mode was aimed at reducing ion density and flux in order to minimize the degradation of electric properties at plasma-treated surfaces. It was found that: 1) both gas systems are characterized by identical changes in SiOxNy etching kinetics with variations in gas pressure, input power and CF4/X mixing ratios; and 2) the gas system with X = CF2Br2 provides lower absolute etching rates, exhibits the weaker contamination of etched surfaces by the fluorocarbon polymer as well as results in a bit more vertical etching profile. In order to understand the above features, the properties of CF4 + O2 + X (X = C4F8 or CF2Br2) plasmas were studied using Langmuir probe diagnostics and quadrupole mass-spectrometry. The corresponding results indicated that: 1) similar behaviors in plasma parameters (electron temperature, ion current density) and fluxes of active species for X = C4F8 or CF2Br2; and 2) lower F atom density, density of polymerizing radicals and neutral/charged ratio for X = CF2Br2. The analysis of the SiOxNy etching mechanism suggested the effectiveness of the F atom flux-limited etching regime with the process-condition-dependent effective probability for the SiOxNy + F reaction. The latter depends on the fluorocarbon polymer deposition/removal balance.

Author Contributions

Conceptualization, Investigation, Writing—original draft, Y.N., B.J.L.; Formal analysis, Validation, A.E.; Project administration, Supervision, Validation, Writing—review & editing K.-H.K. For research articles with several authors, a short paragraph specifying their individual contributions must be provided. All authors have read and agreed to the published version of the manuscript.

Funding

This work was supported by the Korea Institute of Energy Technology Evaluation and Planning (KETEP) and the Ministry of Trade, Industry & Energy (MOTIE) of the Republic of Korea (No. 20172010105910) (Y. Nam, B. J. Lee and K.-H. Kwon).

Conflicts of Interest

The authors declare no conflict of interest.

References

  1. Sze, S.M. VLSI Technology; McGraw-Hill: New York, NY, USA, 1988. [Google Scholar]
  2. Wolf, S.; Tauber, R.N. Silicon Processing for the VLSI era; Lattice Press: New York, NY, USA, 2000; Volume 1. [Google Scholar]
  3. Sugano, T. Applications of Plasma Processes to VLSI Technology; Wiley: New York, NY, USA, 1990. [Google Scholar]
  4. Niklasson, G.A.; Eriksson, T.S.; Brantervik, K. Dielectric properties of silicon oxynitride films. Appl. Phys. Lett. 1989, 54, 965–967. [Google Scholar] [CrossRef]
  5. Wörhoffz, K.; Hilderink, L.T.H.; Driessen, A.; Lambeck, P.V. Silicon oxynitride: A versatile material for integrated optics applications. J. Electrochem. Soc. 2002, 149, F85–F91. [Google Scholar] [CrossRef]
  6. Son, J.; Efremov, A.; Chun, I.; Yeom, G.Y.; Kwon, K.-H. On the LPCVD-formed SiO2 etching mechanism in CF4/Ar/O2 inductively coupled plasmas: Effects of gas mixing ratios and gas pressure. Plasma Chem. Plasma Proc. 2014, 34, 239–257. [Google Scholar] [CrossRef]
  7. Li, X.; Ling, L.; Hua, X.; Fukasawa, M.; Oehrlein, G.S.; Barela, M.; Anderson, H.M. Effects of Ar and O2 additives on SiO2 etching in C4F8-based plasmas. J. Vac. Sci. Technol. A 2003, 21, 284–293. [Google Scholar] [CrossRef]
  8. Schaepkens, M.; Standaert, T.E.F.M.; Rueger, N.R.; Sebel, P.G.M.; Oehrlein, G.S.; Cook, J.M. Study of the SiO2-to-Si3N4 etch selectivity mechanism in inductively coupled fluorocarbon plasmas and a comparison with the SiO2-to-Si mechanism. J. Vac. Sci. Technol. A 1999, 17, 26–37. [Google Scholar] [CrossRef] [Green Version]
  9. Chen, L.; Xu, L.; Li, D.; Lin, B. Mechanism of selective Si3N4 etching over SiO2 in hydrogen-containing fluorocarbon plasma. Microelectron. Eng. 2009, 86, 2354–2357. [Google Scholar] [CrossRef]
  10. Kastenmeier, B.E.E.; Matsuo, P.J.; Beulens, J.J.; Oehrlein, G.S. Chemical dry etching of silicon nitride and silicon dioxide using CF4/O2/N2 gas mixtures. J. Vac. Sci. Technol. A 1996, 14, 2802–2813. [Google Scholar] [CrossRef] [Green Version]
  11. Kastenmeier, B.E.E.; Matsuo, P.J.; Oehrlein, G.S. Highly selective etching of silicon nitride over silicon and silicon dioxide. J. Vac. Sci. Technol. A 1999, 17, 3179–3184. [Google Scholar] [CrossRef] [Green Version]
  12. Lee, H.K.; Chung, K.S.; Yu, J.S. Selective etching of thick Si3N4, SiO2 and Si by using CF4/O2 and C2F6 gases with or without O2 or ar addition. J. Korean Phys. Soc. 2009, 54, 1816–1823. [Google Scholar] [CrossRef]
  13. Matsui, M.; Tatsumi, T.; Sekine, M. Relationship of etch reaction and reactive species flux in C4F8/Ar/O2 plasma for SiO2 selective etching over Si and Si3N4. J. Vac. Sci. Technol. A 2001, 19, 2089–2096. [Google Scholar] [CrossRef]
  14. Lele, C.; Liang, Z.; Linda, X.; Dongxia, L.; Hui, C.; Tod, P. Role of CF2 in the etching of SiO2, Si3N4 and Si in fluorocarbon plasma. J. Semicond. 2009, 30, 033005. [Google Scholar] [CrossRef]
  15. Standaert, T.E.F.M.; Hedlund, C.; Joseph, E.A.; Oehrlein, G.S.; Dalton, T.J. Role of fluorocarbon film formation in the etching of silicon, silicon dioxide, silicon nitride, and amorphous hydrogenated silicon carbide. J. Vac. Sci. Technol. A 2004, 22, 53–60. [Google Scholar] [CrossRef] [Green Version]
  16. Kim, B.; Kim, J.; Lee, S.H.; Park, J.; Lee, B.T. Plasma etching of silicon oxynitride in a low-pressure C2F6 plasma. J. Korean Phys. Soc. 2005, 47, 712–715. [Google Scholar]
  17. Cavallari, C.; Gualandris, F. Plasma processing for silicon oxynitride films. J. Electrochem. Soc. 1987, 134, 1265–1270. [Google Scholar] [CrossRef]
  18. Ueno, K.; Kikkawa, T.; Tokashiki, K. Reactive ion etching of silicon oxynitride formed by plasma-enhanced chemical vapor deposition. J. Vac. Sci. Technol. B 1995, 13, 1447–1450. [Google Scholar] [CrossRef]
  19. Lide, D.R. Handbook of Chemistry and Physics; CRC Press: New York, NY, USA, 1998. [Google Scholar]
  20. Lieberman, M.A.; Lichtenberg, A.J. Principles of Plasma Discharges and Materials Processing; John Wiley & Sons Inc.: New York, NY, USA, 1994. [Google Scholar]
  21. Mogab, C.J.; Adams, A.C.; Flamm, D.L. Plasma etching of Si and SiO2—The effect of oxygen additions to CF4 Plasmas. J. Appl. Phys. 1978, 49, 3796–3803. [Google Scholar] [CrossRef]
  22. Lee, J.; Kim, J.; Efremov, A.; Kim, C.; Lee, H.W.; Kwon, K.-H. Etching mechanisms and surface conditions for SiOxNy thin films in CF4 + CHF3 + O2 inductively coupled plasma. Plasma Chem. Plasma Process. 2019, 39, 1127–1144. [Google Scholar] [CrossRef]
  23. Tran-Quinn, T.; Lakritz, M. Unsaturated fluorocarbons in the etching process, environmental benefit, technical hurdles. In Proceedings of the Conference IEEE/SEMI Advanced Semiconductor Manufacturing Conference, Cambridge, MA, USA, 5–7 May 2008; IEEE: Piscataway, NJ, USA, 2008. [Google Scholar] [CrossRef]
  24. Kiehlbauch, M.W.; Graves, D.B. Temperature resolved modeling of plasma abatement of perfluorinated compounds. J. Appl. Phys. 2001, 89, 2047–2057. [Google Scholar] [CrossRef]
  25. Bolaji, B.O.; Huan, Z. Ozone depletion and global warming: Case for the use of natural refrigerant–a review. Renew. Sustain. Energ. Rev. 2013, 18, 49–54. [Google Scholar] [CrossRef]
  26. Krishnan, N.; Smati, R.; Raoux, S.; Dornfeld, D. Alternatives to reduce perfluorinated compound (PFC) emissions from semiconductor dielectric etch processes: Meeting environmental commitments while minimizing costs. In Proceedings of the Conference IEEE International Symposium on Electronics and the Environment, Boston, MA, USA, 19–22 May 2003; IEEE: Piscataway, NJ, USA. [Google Scholar] [CrossRef]
  27. Mocella, M.T. PFC emission control options for plasma processing tools: A current Assessment. MRS Online Proc. Libr. Arch. 1996, 447, 29–34. [Google Scholar] [CrossRef]
  28. Beppu, T.; Mitsui, Y.; Sakai, K.; Sekiya, A. New alternative gas process feasibility study for PFC emission reduction from semiconductor CVD chamber cleaning. In Proceedings of the Greenhouse Gas Control Technologies-6th International Conference, Kyoto, Japan, 1–4 October 2002; Elsevier: Amsterdam, The Nederland, 2002. [Google Scholar] [CrossRef]
  29. Li, Y.E.D.; Paganessi, J.E.; Rufin, D. Emission reduction of perfluorocompounds in semiconductor manufacturers via capture and recycle. Green Eng. 2000, 6, 62–75. [Google Scholar] [CrossRef]
  30. Tsai, W.T.; Chen, H.P.; Hsien, W.Y. A review of uses, environmental hazards and recovery/recycle technologies of perfluorocarbons (PFCs) emissions from the semiconductor manufacturing processes. J. Low. Prevent. Proc. 2002, 15, 65–75. [Google Scholar] [CrossRef]
  31. Hodnebrog, Ø.; Etminan, M.; Fuglestvedt, J.S.; Marston, G.; Myhre, G.; Nielsen, C.J.; Shine, K.P.; Wallington, T.J. Global warming potentials and radiative efficiencies of halocarbons and related compounds: A comprehensive review. Rev. Geophys. 2013, 51, 300–378. [Google Scholar] [CrossRef] [Green Version]
  32. Xiang, W.H. Vapor pressures, critical parameters, boiling points, and triple points of halomethane molecular substances. J. Phys. Chem. Ref. Data 2001, 30, 1161–1197. [Google Scholar] [CrossRef]
  33. Veselov, D.S.; Bakun, A.D.; Voronov, Y.A. Reactive ion etching of silicon using low-power plasma etcher. J. Phys. Conf. Ser. 2016, 748, 012017. [Google Scholar] [CrossRef] [Green Version]
  34. Ashraf, M.; Sundararajan, S.V.; Grenc, G. Low-power, low-pressure reactive-ion etching process for silicon etching with vertical and smooth walls for mechanobiology application. J. Micro Nanolith. MEMS MOEMS 2017, 16, 034501. [Google Scholar] [CrossRef] [Green Version]
  35. Lee, J.; Efremov, A.; Yeom, G.Y.; Lim, N.; Kwon, K.-H. Application of Si and SiO2 etching mechanisms in CF4/C4F8/Ar inductively coupled plasmas for nanoscale patterns. J. Nanosci. Nanotechnol. 2015, 15, 8340–8347. [Google Scholar] [CrossRef]
  36. Johnson, E.O.; Malter, L. A floating double probe method for measurements in gas discharges. Phys. Rev. 1950, 80, 58–70. [Google Scholar] [CrossRef]
  37. Shun’ko, E.V. Langmuir Probe in Theory and Practice; Universal Publishers: Irvine, CA, USA, 2008. [Google Scholar]
  38. Lee, J.; Efremov, A.; Kwon, K.-H. On the relationships between plasma chemistry, etching kinetics and etching residues in CF4+C4F8+Ar and CF4+CH2F2+ Ar plasmas with various CF4/C4F8 and CF4/CH2F2 mixing ratios. Vacuum 2018, 148, 214–223. [Google Scholar] [CrossRef]
  39. Gray, D.C.; Tepermeister, I.; Sawin, H.H. Phenomenological modeling of ion-enhanced surface kinetics in fluorine-based plasma etching. J. Vac. Sci. Technol. B 1993, 11, 1243–1257. [Google Scholar] [CrossRef]
  40. Stoffels, W.W.; Stoffels, E.; Tachibana, K. Polymerization of fluorocarbons in reactive ion etching plasmas. J. Vac. Sci. Technol. A 1998, 16, 87–95. [Google Scholar] [CrossRef] [Green Version]
  41. Winters, H.F.; Coburn, J.W.; Chuang, T.J. Surface processes in plasma-assisted etching environments. J. Vac. Sci. Technol. B 1983, 1, 469–480. [Google Scholar] [CrossRef]
  42. Coburn, J.W. Plasma Etching and Reactive Ion Etching, AVS Monograph Series; American Vacuum Society, American Institute of Physics: New York, NY, USA, 1982. [Google Scholar]
  43. Roosmalen, A.J.; Baggerman, J.A.G.; Brader, S.J.H. Dry Etching For VLSI; Plenum Press; Springer Science & Business Media: New York, NY, USA, 1991. [Google Scholar]
  44. Vitale, S.A.; Chae, H.; Sawin, H.H. Silicon etching yields in F2, Cl2, Br2, and HBr high density plasmas. J. Vac. Sci. Technol. A 2001, 19, 2197–2206. [Google Scholar] [CrossRef]
  45. Belen, R.J.; Gomez, S.; Kiehlbauch, M.; Aydil, E.S. Feature scale model of Si etching in SF6/O2/HBr plasma and comparison with experiments. J. Vac. Sci. Technol. A 2006, 24, 350–361. [Google Scholar] [CrossRef]
  46. Bestwick, T.D.; Oehrlane, G.S. Reactive ion etching of silicon using bromine containing plasmas. J. Vac. Sci. Technol. A 1990, 8, 1696–1701. [Google Scholar] [CrossRef]
  47. Chun, I.; Efremov, A.; Yeom, G.Y.; Kwon, K.-H. A comparative study of CF4/O2/Ar and C4F8/O2/Ar plasmas for dry etching applications. Thin Solid Film 2015, 579, 136–143. [Google Scholar] [CrossRef]
  48. Rauf, S.; Ventzek, P.L. Model for an inductively coupled Ar/c-C4F8 plasma discharge. J. Vac. Sci. Technol. A 2012, 20, 14–23. [Google Scholar] [CrossRef]
  49. Kimura, T.; Noto, M. Experimental study and global model of inductively coupled CF4/O2 discharges. J. Appl. Phys. 2006, 100, 063303. [Google Scholar] [CrossRef]
  50. Efremov, A.; Lee, J.; Kwon, K.-H. A comparative study of CF4, Cl2 and HBr + Ar inductively coupled plasmas for dry etching applications. Thin Solid Film 2017, 629, 39–48. [Google Scholar] [CrossRef]
  51. Kwon, K.-H.; Efremov, A.; Kim, M.; Min, N.K.; Jeong, J.; Kim, K. A model-based analysis of plasma parameters and composition in HBr/X (X=Ar, He, N2) inductively coupled plasmas. J. Electrochem. Soc. 2010, 157, H574–H579. [Google Scholar] [CrossRef]
  52. NIST Chemical Kinetics Database. Available online: https://kinetics.nist.gov/kinetics/index.jsp (accessed on 2 November 2020).
  53. Kim, D.-H.; Lee, G.-H.; Lee, S.Y.; Kim, D.H. Atomic scale simulation of physical sputtering of silicon oxide and silicon nitride thin films. J. Cryst. Growth 2006, 286, 71–77. [Google Scholar] [CrossRef]
  54. Seah, M.P.; Nunney, T.S. Sputtering yields of compounds using argon ions. J. Phys. D Appl. Phys. 2010, 43, 253001. [Google Scholar] [CrossRef] [Green Version]
Figure 1. Effect of gas mixing ratios on SiOxNy etching kinetics (a) and plasma characteristics (bd) at p = 10 mTorr, W = 100 W and y O 2 = 10%: (a) etching rate and effective reaction probability; (b) electron temperature and ion current density; (c) negative dc bias and the parameter ε i Γ + characterizing ion energy flux; and (d) atoms and radical densities. In (ac), numerical labels on curves relate to CF4 + C4F8 + O2 (1) or CF4 + CF2Br2 + O2 (2) plasmas. In Figure (d), labels on curves relate to F (1), CF (2) and CF2 (3) species in CF4 + C4F8 + O2 (solid lines) or CF4 + CF2Br2 + O2 (dashed lines).
Figure 1. Effect of gas mixing ratios on SiOxNy etching kinetics (a) and plasma characteristics (bd) at p = 10 mTorr, W = 100 W and y O 2 = 10%: (a) etching rate and effective reaction probability; (b) electron temperature and ion current density; (c) negative dc bias and the parameter ε i Γ + characterizing ion energy flux; and (d) atoms and radical densities. In (ac), numerical labels on curves relate to CF4 + C4F8 + O2 (1) or CF4 + CF2Br2 + O2 (2) plasmas. In Figure (d), labels on curves relate to F (1), CF (2) and CF2 (3) species in CF4 + C4F8 + O2 (solid lines) or CF4 + CF2Br2 + O2 (dashed lines).
Materials 13 05476 g001aMaterials 13 05476 g001b
Figure 2. Effect of input power on SiOxNy etching kinetics (a) and plasma characteristics (bd) at p = 10 mTorr for 45% CF4 + 45% C4F8 (CF2Br2) + 10% O2 gas mixtures: %: (a) etching rate and effective reaction probability; (b) electron temperature and ion current density; (c) negative dc bias and the parameter ε i Γ + characterizing ion energy flux; and (d) atoms and radical densities. Numerical labels on curves are as in Figure 1.
Figure 2. Effect of input power on SiOxNy etching kinetics (a) and plasma characteristics (bd) at p = 10 mTorr for 45% CF4 + 45% C4F8 (CF2Br2) + 10% O2 gas mixtures: %: (a) etching rate and effective reaction probability; (b) electron temperature and ion current density; (c) negative dc bias and the parameter ε i Γ + characterizing ion energy flux; and (d) atoms and radical densities. Numerical labels on curves are as in Figure 1.
Materials 13 05476 g002
Figure 3. Effect of gas pressure on SiOxNy etching kinetics (a) and plasma characteristics (b–d) at W = 100 W for 45% CF4 + 45% C4F8 (CF2Br2) + 10% O2 gas mixtures: %: (a) etching rate and effective reaction probability; (b) electron temperature and ion current density; (c) negative dc bias and the parameter ε i Γ + characterizing ion energy flux; and (d) atoms and radical densities. Numerical labels on curves are as in Figure 1.
Figure 3. Effect of gas pressure on SiOxNy etching kinetics (a) and plasma characteristics (b–d) at W = 100 W for 45% CF4 + 45% C4F8 (CF2Br2) + 10% O2 gas mixtures: %: (a) etching rate and effective reaction probability; (b) electron temperature and ion current density; (c) negative dc bias and the parameter ε i Γ + characterizing ion energy flux; and (d) atoms and radical densities. Numerical labels on curves are as in Figure 1.
Materials 13 05476 g003
Figure 4. XPS spectra for C 1s on the plasma-treated SiOxNy surface after pure C4F8 (a) and CF2Br2 (b) gases. Plasma parameters correspond to Figure 1.
Figure 4. XPS spectra for C 1s on the plasma-treated SiOxNy surface after pure C4F8 (a) and CF2Br2 (b) gases. Plasma parameters correspond to Figure 1.
Materials 13 05476 g004
Figure 5. Relative contributions of physical and chemical etching pathways to the SiOxNy etching rate. Black and gray bars in (ac) correspond to lowest and highest values of variable parameters from Figure 1, Figure 2 and Figure 3, respectively.
Figure 5. Relative contributions of physical and chemical etching pathways to the SiOxNy etching rate. Black and gray bars in (ac) correspond to lowest and highest values of variable parameters from Figure 1, Figure 2 and Figure 3, respectively.
Materials 13 05476 g005
Figure 6. Etched profiles for 45% CF4 + 45% C4F8 + 10% O2 (a) and 45% CF4 + 45% CF2Br2 + 10% O2 (b) plasmas. Plasma parameters correspond to Figure 1.
Figure 6. Etched profiles for 45% CF4 + 45% C4F8 + 10% O2 (a) and 45% CF4 + 45% CF2Br2 + 10% O2 (b) plasmas. Plasma parameters correspond to Figure 1.
Materials 13 05476 g006
Publisher’s Note: MDPI stays neutral with regard to jurisdictional claims in published maps and institutional affiliations.

Share and Cite

MDPI and ACS Style

Nam, Y.; Efremov, A.; Lee, B.J.; Kwon, K.-H. Plasma Parameters and Etching Characteristics of SiOxNy Films in CF4 + O2 + X (X = C4F8 or CF2Br2) Gas Mixtures. Materials 2020, 13, 5476. https://doi.org/10.3390/ma13235476

AMA Style

Nam Y, Efremov A, Lee BJ, Kwon K-H. Plasma Parameters and Etching Characteristics of SiOxNy Films in CF4 + O2 + X (X = C4F8 or CF2Br2) Gas Mixtures. Materials. 2020; 13(23):5476. https://doi.org/10.3390/ma13235476

Chicago/Turabian Style

Nam, Yunho, Alexander Efremov, Byung Jun Lee, and Kwang-Ho Kwon. 2020. "Plasma Parameters and Etching Characteristics of SiOxNy Films in CF4 + O2 + X (X = C4F8 or CF2Br2) Gas Mixtures" Materials 13, no. 23: 5476. https://doi.org/10.3390/ma13235476

Note that from the first issue of 2016, this journal uses article numbers instead of page numbers. See further details here.

Article Metrics

Back to TopTop