Analog Circuits Sizing Using Multi-Objective Evo-lutionary Algorithm Based on Decomposition

Mehran Nohtanipour, Mohammad Hossein Maghami, Mehdi Radmehr

Abstract


Several analog circuit design has been suggested where a layout generator is used after a circuit sizing. But, many iterations between circuit sizing and layout generator stages are needed to obtain desired specifications. This paper proposes a new equation and simulation-based method for circuits sizing of CMOS operational amplifiers (op-amps) by considering layout effects. In the proposed method, layout effects are considered during the sizing step. Layout effects are devices parasitics and geometry information that are extracted from a new automated layout generator. Optimization is performed using multi-objective evolutionary algorithm based on decomposition (MOEA/D). In order to evaluate the performance of the proposed sizing method, the design of folded-cascode and three-stage op-amps are provided in a 0.18µm process CMOS technology with 1.8 V supply voltage. The simulation results exhibit the good performance of the proposed sizing method.

Keywords


Analog circuits sizing; Equation and simulation-based method; Automated layout generator; Multi-objective evolutionary algorithm based on decomposition; Operational amplifiers

Full Text:

PDF

References


R. Martins, et al., “Many-Objective Sizing Optimi-zation of a Class-C/D VCO for Ultralow-Power IoT and Ultralow-Phase-Noise Cellular Applications”, IEEE Transactions on Very Large Scale Integration (VLSI) Systems , vol. 27, no.1, pp. 69-82, 2019. http://doi.org/10.1109/TVLSI.2018.2872410

S. Park, S. Raman, “Analysis and Optimization of Multisection Capacitive DACs for Mixed-Signal Pro-cessing”, IEEE Transactions on Very Large Scale In-tegration (VLSI) Systems, vol. 27, no. 3, pp. 679 – 690, 2019.

https://doi.org/10.1109/TVLSI.2018.2888593

D. Martev, S. Hampel, U. Schlichtmann, “Automat-ed Phase-Noise-Aware Design of RF Clock Distribu-tion Circuits”, IEEE Transactions on Very Large Scale Integration (VLSI) Systems, vol. 26, no. 11, pp. 2395 - 2405, 2018.

https://doi.org/10.1109/TVLSI.2018.2864316

W. Lyu, et al., “An Efficient Bayesian Optimization Approach for Automated Optimization of Analog-Circuits”, IEEE Transactions on Circuits and Systems I: Regular Papers, vol. 65, no.6, pp. 1954 – 1967, 2018.

D. A. Johns, K. Martin. Analog Integrated Circuit De-sign, Publisher; John Wiley Sons, Inc, 1997.

https://www.wiley.com/en-us/Analog+Integrated+Circuit+Design%2C+2nd+Edition-p-9781118214909

S. M. Anisheh, C. Dadkhah “A two-stage method for optimizing the parameters of CMOS operational amplifiers based on evolutionary algorithm,” Inter-national Journal on Computer Science and Engineer-ing, vol. 14, no. 2, pp. 1-10, 2017.

F. Djeffal, T. Bendib, "Multi-objective genetic algo-rithms based approach to optimize the electrical per-formances of the gate stack double gate (GSDG) MOSFET," Microelectronics Journal, vol. 42, pp. 661–666, 2011.

https://doi.org/10.1016/j.mejo.2011.03.003

R. Castro-Lopez, O. Guerra, E. Roca and F. Fernan-dez, “An Integrated Layout-Synthesis Approach for Analog ICs,” IEEE Transacions on Computer-Aided Design, vol. 27, no. 7, pp. 1179-1189, 2008.

https://doi.org/10.1109/TCAD.2008.923417

C.-C. Kao, and C.-C. Hsu, “ALGA: Automated layout generator for analog CMOS circuits”, International Journal of Electronics, vol. 94, no. 1, pp. 81-97, 2007.

https://pascal-fran-cis.inist.fr/vibad/index.php?action=getRecordDetail&idt=18477958

H. Habal, et al., ”Constraint-Based Layout-Driven Sizing of Analog Circuits,” in Proc. of IEEE CAD, pp. 1089-1102, 2011.

N. Lourenco, et al., ”Layout-aware sizing of analog ICs using floorplan & routing estimates for parasitic extraction,” in Proc. of Design, Automation & Test in Europe Conference & Exhibition (DATE), pp.1156-1161, 2015.

R. A. Rutenbar, G. G. E. Gielen, and J. Roychow-dhury, “Hierarchical modeling, optimization, and synthesis for system-level analog and RF designs,” Proceedings of IEEE, vol. 95, no. 3, pp. 640–669, Mar. 2007.

https://doi.org/10.1109/JPROC.2006.889371

T. McConaghy and G. Gielen, “Analysis of simula-tion-driven numerical performance modeling tech-niques for application to analog circuit optimiza-tion,” in Proc. of IEEE ISCAS, vol. 2. May 2005, pp. 1298–1301.

F. Silveira, D. Flandre, and P. G. A. Jespers, “A gm/ID based methodology for the design of CMOS analog circuits and its application to the synthesis of a silicon-insulator micropower OTA,” IEEE Journal of Solid-State Circuits, vol. 31, no. 9, pp. 1314-1319, 1996.

P. G. Jespers, The gm/ID Methodology, a sizing tool for low-voltage analog CMOS circuits, 2010, Spring-er.

https://doi.org/10.1007/978-0-387-47101-3

D. Flandre, et al., “Improved synthesis of gain-boosted regulated-cascode CMOS stages using symbolic analysis and gm/ID methodology,” IEEE Journal of Solid-State Circuits, vol. 32, no. 7, pp. 1006–1012, 1997.

https://doi.org/10.1109/4.597291

A. Girardi, F.P. Cortes and S. Bampi, “A tool for au-tomatic design of analog circuits based on gm/ID methodology”, in Proc of IEEE ISCAS, pp. 4643-4646, 2006.

https://doi.org/10.1109/ISCAS.2006.1693665

G Berkol, et al., “A two-step layout-in-the-loop de-sign automation tool”, in Proc. of IEEE NEWCAS, pp. 1-4, 2015.

H-J. Chang, et al., “Layout-aware Analog Synthesis Environment with Yield Consideration”, in Proc. of 16th International Symposium on Quality Electronic Design, pp. 589-593, 2015.

L. Zhang, U. Kleine and Y. Jiang, “An automated de-sign tool for analog layouts”, IEEE Transactions on Very Large Scale Integration (VLSI) Systems, vol. 14, no. 8, pp. 881-894, Aug. 2006.

https://doi.org/10.1109/TVLSI.2006.878475

E. Yilmaz, Günhan Dündar “Analog Layout Genera-tor for CMOS Circuits”, IEEE Transactions on Com-puter-Aided Design, vol. 28, no. 1, pp. 32-45, Jan. 2009.

https://doi.org/10.1109/TCAD.2008.2009137

A. Agarwal, R. Vemuri, “Layout-Aware RF Circuit Synthesis Driven by Worst Case Parasitic Corners”, in Proc. of International Conference on Computer Design, pp.1-6, 2005.

https://doi.ieeecomputersociety.org/10.1109/ICCD.2005.68

A. Toro-Frías, R. Castro-López, E. Roca, F. V. Fer-nándezm, “Layout-aware pareto fronts of electronic circuits”, in Proc. of European Conference on Circuit Theory and Design (ECCTD), pp. 345-348, 2011.

https://doi.org/10.1109/ECCTD.2011.6043357

T. Liao, L. Zhang, “Parasitic-aware GP-based many-objective sizing methodology for analog and RF in-tegrated circuits”‚ in Proc. of Asia and South Pacific Design Automation Conference, pp. 475-180, 2017.

Y.-C. Liao, et al., “Laser: layout-aware analog syn-thesis environment on laker,” in Proc. of the 23rd ACM international conference on Great lakes sym-posium on VLSI, pp. 107–112, 2013.

https://doi.org/10.1145/2483028.2483071

M. Dessouky, M.-M. Louerat, and J. Porte,"Layout-oriented synthesis of high performance analog cir-cuits," in Proc. of DATE, pp. 53–57, 2000.

P. Vancorenland, G. Van der Plas, M. Steyaert, G. Gielen, and W. Sansen, "A layout-aware synthesis methodology for RF circuits," in Proc. of IEEE ICCAD, pp. 358 – 362, 2001.

N. Lourenço, A. Canelas, R. Póvoa, R. Martins, N. Horta, “Floorplan-aware analog IC sizing and opti-mization based on topological constraints,” Integra-tion, the VLSI journal. vol. 48, pp. 183-197, 2015.

A. Ahmed, L. Zhang, “Fast parasitic-aware synthe-sis methodology for high-performance analog cir-cuits”‚ in Proc. of IEEE ISCAS, pp. 2155-2158, 2012.

https://doi.org/10.1109/ISCAS.2012.6271714

S. M. Anisheh and H. Shamsi, “Placement and Rout-ing Method for Analogue Layout Generation Using Modified Cuckoo Optimization Algorithm,” IET Cir-cuits Devices & Systems, vol. 12, no. 5, pp. 532-541, 2018.

https://doi.org/10.1049/iet-cds.2017.0111

Y. yan Tan, Y. chang Jiao, H. Li, and X. kuan Wang, “MOEA/D+uniform design: A new version of MOEA/D for optimization problems with many ob-jectives”, Computers & Operations Research, vol. 40, no 6, pp. 1648-1660, 2013.

https://doi.org/10.1016/j.cor.2012.01.001

Ioannis Giagkiozis, Robin C. Purshouse, and Peter J. Fleming, “Generalized Decomposition and Cross En-tropy Methods for Many-Objective Optimization”, Information Science, vol. 282, pp. 363-387, 2014.

https://doi.org/10.1016/j.ins.2014.05.045

Qingfu Zhang, and Hui Li, “MOEA/D: A Multiobjec-tive Evolutionary Algorithm Based on Decomposi-tion,” IEEE Transactions on Evolutionary Computa-tion, vol. 11, no. 6, 2007.

https://doi.org/10.1109/TEVC.2007.892759

H.E. Graeb, Analog Layout Synthesis‚ Springer, New York, NY, USA, 2011.

F. Fernandes, P. Costa, J. Lima, G. Veiga, Towards an orientation enhanced astar algorithm for robotic navigation’‚ in Proc. of IEEE International Confer-ence on Industrial Technology (ICIT) , pp. 3320–3325, 2015.

L. Zhang, Z. Liu, “Directly performance-constrained template-based layout retargeting and optimization for analog integrated circuits”, Integration, the VLSI journal, vol. 44, no. 1, pp. 1–11, 2011.

https://doi.org/10.1016/j.vlsi.2010.09.003

S.M. Anisheh, H. Shamsi, M. Mirhassani, “Positive Feedback Technique and Split-Length Transistors for DC-Gain Enhancement of Two Stage Op-Amps”, IET Circuits Devices & Systems. vol. 11, no. 6, pp. 605-612, 2017.

https://doi.org/10.1049/iet-cds.2016.0416

S. M. Anisheh, H. Abbasizadeh, H. Shamsi, C. Dad-khah, K. Y. Lee, “98-dB Gain Class-AB OTA With 100 pF Load Capacitor in 180-nm Digital CMOS Pro-cess”, IEEE Access, vol. 7, pp. 17772 - 17779, 2019.

https://doi.org/10.1109/ACCESS.2019.2896089

R. Nguyen, B. Murmann, The design of fast-settling three-stage amplifiers using the open-loop damping factor as a design parameter, IEEE Transactions on Circuits and Systems-I: Regular Papers, 57 (2010), 1244-1254.

https://doi.org/10.1109/TCSI.2009.2031763




DOI: https://doi.org/10.33180/InfMIDEM2021.305

Refbacks

  • There are currently no refbacks.


Copyright (c) 2015 Mehran Nohtanipour, Mohammad Hossein Maghami, Mehdi Radmehr

Creative Commons License
This work is licensed under a Creative Commons Attribution 4.0 International License.