skip to main content
10.1145/337292.337436acmconferencesArticle/Chapter ViewAbstractPublication PagesdacConference Proceedingsconference-collections
Article
Free Access

The design and use of simplepower: a cycle-accurate energy estimation tool

Authors Info & Claims
Published:01 June 2000Publication History

ABSTRACT

In this paper, we presen t the design and use of a comprehensiv e framework, SimplePower, for ev aluating the effect of high-level algorithmic, architectural, and compilation trade-offs on energy. An execution-driven, cycle-accurate RT lev el energy estimation tool that uses transition sensitive energy models forms the cornerstone of this framework. SimplePower also pro vides the energy consumed in the memory system and on-chip buses using analytical energy models.

We presen t the use of SimplePower to evaluate the impact of a new selective gated pipeline register optimization, a high-level data transformation and a pow er-conscious post compilation optimization (register relabeling) on the datapath, memory and on-chip bus energy, respectively. We find that these three optimizations reduce the energy by 18-36% in the datapath, 62% in the memory system and 12% in the instruction cache data bus, respectively.

References

  1. 1.L. Benini, A. Bogoliolo, M. Favalli, and G. D. Micheli. Regression models for behavioral power estimates. In Proceedings of International Workshop on Power, Timing, Modeling, Optimization and Simulation, page 179, September 1996.Google ScholarGoogle Scholar
  2. 2.D. Burger and T. Austin. The simplescalar tool set, version 2.0. Technical report, Computer Sciences Department, University of Wisconsin, June, 1997.Google ScholarGoogle Scholar
  3. 3.M. Cierniak and W. Li. Unifying data and control transformations for distributed shared memory machines. In Proceedings of SIGPLAN'95 Conference on Programming Language Design and Implementation, June 1995. Google ScholarGoogle ScholarDigital LibraryDigital Library
  4. 4.M. D. Hill, J. R. Larus, A. R. Lebeck, M. Talluri, and D. A. Wood. Wisconsin architectural research tool set (warts). Computer Architecture News (CAN), August 1993. Google ScholarGoogle ScholarDigital LibraryDigital Library
  5. 5.M. Kamble and K. Ghose. Analytical energy dissipation models for low power caches. In Proceedings of International Symposium on Low Power Electronics and Design, page 143, August 1997. Google ScholarGoogle ScholarDigital LibraryDigital Library
  6. 6.M. Kandemir, A. Choudhary, J. Ramanujam, and P. Banerjee. Improving locality using loop and data transformations in an integrated framework. In Proceedings of MICRO-31, Dallas, TX, December, 1998. Google ScholarGoogle ScholarDigital LibraryDigital Library
  7. 7.M. Kandemir, N. Vijaykrishnan, M. J. Irwin, and W. Ye. Influence of compiler optimization on system power. In Proceedings of the 37th Design Automation Conference, 2000. Google ScholarGoogle ScholarDigital LibraryDigital Library
  8. 8.N. Kumar, S. Katkoori, L. Rader, and R. Vemuri. Profile-driven behavioral synthesis for low power vlsi systems. IEEE Design and Test Magazine, page 70, Fall 1995. Google ScholarGoogle ScholarDigital LibraryDigital Library
  9. 9.P. Landman and J. Rabaey. Activity-sensitive architectural power analysis. IEEE Transaction on CAD, TCAD-15(6), page 571, June 1996. Google ScholarGoogle ScholarDigital LibraryDigital Library
  10. 10.J. Lin, W. Shen, and J. Jou. A power modeling and characterization method for macrocells using structure information. In International Conf. on Computer Aided Design, page 502, November 1997. Google ScholarGoogle ScholarDigital LibraryDigital Library
  11. 11.D. Liu and C. Svensson. Power consumption estimation in cmos vlsi chips. IEEE Journal of Solid-State Circuits, page 663, June 1994.Google ScholarGoogle Scholar
  12. 12.D. Marculescu, R. Marculescu, and M. Pedram. Information theoretic measures of energy consumption at register transfer level. In Proceedings of 1995 International Symposium on Low-Power Design, page 81, April 1995. Google ScholarGoogle ScholarDigital LibraryDigital Library
  13. 13.H. Mehta, R. M. Owens, and M. J. Irwin. Energy characterization based on clustering. In Proceedings of the 33rd Design Automation Conference, page 702, June 1996. Google ScholarGoogle ScholarDigital LibraryDigital Library
  14. 14.S. S. Muchnick. Advanced Compiler Design Implementation. Morgan Kaufmann Publishers, San Francisco, California, 1997 Google ScholarGoogle ScholarDigital LibraryDigital Library
  15. 15.S. Powell and P. Chau. Estimating power dissipation of vlsi signal processing chips: the pfa technique. In VLSI Signal Processing, IV, page 250, 1990.Google ScholarGoogle Scholar
  16. 16.J. M. Rabaey and M. Pedram. Low Power Design Methodologies. Kluwer Academic Publishers, Inc., 1996. Google ScholarGoogle ScholarDigital LibraryDigital Library
  17. 17.J. Sacha and M. J. Irwin. Number representation for reducing data bus power dissipation. In Proceedings of the 33rd Asilornar Conference on Signals, Systems, and Computers, November 1998.Google ScholarGoogle ScholarCross RefCross Ref
  18. 18.W.-T. Shiue and C. Chakrabarti. Memory exploration for low power, embedded systems, clpe-tr-9-1999-20. Technical report Arizona State University, 1999.Google ScholarGoogle Scholar
  19. 19.C. Su and A. Despain. Cache design trade-offs for power and performance optimization: A case study. In Proceedings of International Symposium on Low Power Electronics and Design, page 63, 1995. Google ScholarGoogle ScholarDigital LibraryDigital Library
  20. 20.V. Tiwari, S. Malik, A. Wolfe, and T. Lee. Instruction level power analysis and optimization of software. Journal of VLS1 Signal Processing Systems, Vol. 13, No. 2, August 1996. Google ScholarGoogle ScholarDigital LibraryDigital Library
  21. 21.M. C. Toburen, T. M. Conte, and M. Reilly. Instruction scheduling for low power dissipation in high performance processors. In Proceedings of the Power Driven Micro-architecture Workshop in conjunction with the ISCA'98, June 1998.Google ScholarGoogle Scholar
  22. 22.Q. Wu, Q. Qiu, M. Pedram, and C.-S. Ding. Cycle-accurate macro-models for rt-level power analysis. IEEE Transactions on VLSI Systems, 6(4), page 520, December 1998. Google ScholarGoogle ScholarDigital LibraryDigital Library
  23. 23.Y. Zhang, R. Y. Chen, W. Ye, and M. J. Irwin. System level interconnect power modeling. In Proceedings of the 11th International ASIC Conference, page 289, September 1998.Google ScholarGoogle ScholarCross RefCross Ref

Index Terms

  1. The design and use of simplepower: a cycle-accurate energy estimation tool

                  Recommendations

                  Comments

                  Login options

                  Check if you have access through your login credentials or your institution to get full access on this article.

                  Sign in
                  • Published in

                    cover image ACM Conferences
                    DAC '00: Proceedings of the 37th Annual Design Automation Conference
                    June 2000
                    819 pages
                    ISBN:1581131879
                    DOI:10.1145/337292

                    Copyright © 2000 ACM

                    Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

                    Publisher

                    Association for Computing Machinery

                    New York, NY, United States

                    Publication History

                    • Published: 1 June 2000

                    Permissions

                    Request permissions about this article.

                    Request Permissions

                    Check for updates

                    Qualifiers

                    • Article

                    Acceptance Rates

                    Overall Acceptance Rate1,770of5,499submissions,32%

                    Upcoming Conference

                    DAC '24
                    61st ACM/IEEE Design Automation Conference
                    June 23 - 27, 2024
                    San Francisco , CA , USA

                  PDF Format

                  View or Download as a PDF file.

                  PDF

                  eReader

                  View online with eReader.

                  eReader