ABSTRACT
This paper focuses on low-power clock network design for 3D ICs, where through-silicon vias (TSVs) form a regular 2-dimensional array. This TSV array style is shown to be more manufacturable and practical than layouts with TSVs located at irregular spots. However, due to limited TSV resources in TSV arrays, TSV utilization in a 3D clock network significantly affects the final clock power. A straightforward extension on existing works for TSV arrays cannot guarantee power efficiency. Therefore, we develop a decision-tree-based clock synthesis (DTCS) method to generate low-power and reliable clock networks by efficiently exploring the entire solution space for the best TSV array utilization. Our DTCS method has been applied for both gate-level chip-scale 3D clock designs and block-level global clock designs. Experimental results show that our algorithm effectively finds close-to-optimal solutions for power efficiency with skew minimization in short runtime. Our DTCS method achieves up to 13.5% average power reduction with more than 50% fewer TSVs compared with the straightforward extension on the existing algorithm.
- K. Athikulwongse, et al. Stress-Driven 3D-IC Placement with TSV Keep-Out Zone and Regularity Study. In ICCAD, pages 669--674, 2010. Google ScholarDigital Library
- K. D. Boese and A. B. Kahng. Zero-Skew Clock Routing Trees with Minimum Wirelength. In Proc. IEEE Intl. Conf. on ASIC, pages 1.1.1--1.1.5, 1992.Google ScholarCross Ref
- M. Jackson, et al. Clock Routing for High-Performance ICs. In DAC, pages 573--579, 1990. Google ScholarDigital Library
- M. Jung, et al. TSV Stress-aware Full-Chip Mechanical Reliability Analysis and Optimization for 3D IC. In DAC, pages 188--193, 2011. Google ScholarDigital Library
- T.-Y. Kim and T. Kim. Clock Tree Embedding for 3D ICs. In ASPDAC, pages 486--491, 2010. Google ScholarDigital Library
- T.-Y. Kim and T. Kim. Clock Tree Synthesis with Pre-Bond Testability for 3D Stacked IC Designs. In DAC, pages 723--728, 2010. Google ScholarDigital Library
- D. H. Kim, et al. A Study of Through-Silicon-Via Impact on the 3D Stacked IC Layout. In ICCAD, pages 674--680, 2009. Google ScholarDigital Library
- J. H. Lau. TSV Manufacturing Yield and Hidden Costs for 3D IC Integration. In ECTC, pages 1031--1042, 2010.Google Scholar
- C. Liu, et al. Signal Integrity Analysis and Optimization for 3D ICs. In ISQED, pages 42--49, 2011.Google ScholarCross Ref
- C.-L. Lung, et al. Fault-Tolerant 3D Clock Network. In DAC, pages 645--651, 2011. Google ScholarDigital Library
- A. Mercha, et al. Comprehensive Analysis of the Impact of Single and Arrays of Through Silicon Vias Induced Stress on High-k / Metal Gate CMOS Performance. In IEDM, pages 2.2.1--2.2.4, 2010.Google ScholarCross Ref
- M. Pathak, et al. Through-Silicon-Via Management during 3D Physical Design: When to Add and How Many? In ICCAD, pages 387--394, 2010. Google ScholarDigital Library
- I. Savidis and E. Friedman. Closed-Form Expressions of 3-D Via Resistance, Inductance, and Capacitance. IEEE Transactions on Electron Devices, 56(9):1873--1881, 2009.Google ScholarCross Ref
- T. Song, et al. Analysis of TSV-to-TSV Coupling with High-Impedance Termination in 3D ICs. In ISQED, pages 122--128, 2011.Google ScholarCross Ref
- G. Van der Plas, et al. Design Issues and Considerations for Low-Cost 3-D TSV IC Technology. JSSC, 46(1):293--307, 2011.Google Scholar
- J.-S. Yang, et al. TSV Stress Aware Timing Analysis With Applications To 3D-IC Layout Optimization. In DAC, pages 803--806, 2010. Google ScholarDigital Library
- X. Zhao, et al. Pre-bond Testable Low-Power Clock Tree Design for 3D Stacked ICs. In ICCAD, pages 184--190, 2009. Google ScholarDigital Library
- X. Zhao, et al. Low-Power and Reliable Clock Network Design for Through-Silicon Via (TSV) Based 3D ICs. IEEE Transactions on Components, Packaging and Manufacturing Technology, 1(2):247--259, 2011.Google ScholarCross Ref
Index Terms
- TSV array utilization in low-power 3D clock network design
Recommendations
Low Voltage Clock Tree Synthesis with Local Gate Clusters
GLSVLSI '19: Proceedings of the 2019 on Great Lakes Symposium on VLSIIn this paper, a novel local clock gate cluster-aware low voltage clock tree synthesis methodology is introduced. In low voltage/swing clocking, timing closure is a challenging problem due to tight skew and slew constraints. The clock gating makes this ...
Low-power gated and buffered clock network construction
We propose an efficient algorithm to construct a low-power zero-skew gated clock network, given the module locations and activity information. Unlike previous works, we consider masking logic insertion and buffer insertion simultaneously, and guarantee ...
Low-power clock branch sharing double-edge triggered flip-flop
In this paper, a new technique for implementing low-energy double-edge triggered flip-flops is introduced. The new technique employs a clock branch-sharing scheme to reduce the number of clocked transistors in the design. The newly proposed design also ...
Comments