skip to main content
10.1145/2333660.2333668acmconferencesArticle/Chapter ViewAbstractPublication PagesislpedConference Proceedingsconference-collections
research-article

TSV array utilization in low-power 3D clock network design

Authors Info & Claims
Published:30 July 2012Publication History

ABSTRACT

This paper focuses on low-power clock network design for 3D ICs, where through-silicon vias (TSVs) form a regular 2-dimensional array. This TSV array style is shown to be more manufacturable and practical than layouts with TSVs located at irregular spots. However, due to limited TSV resources in TSV arrays, TSV utilization in a 3D clock network significantly affects the final clock power. A straightforward extension on existing works for TSV arrays cannot guarantee power efficiency. Therefore, we develop a decision-tree-based clock synthesis (DTCS) method to generate low-power and reliable clock networks by efficiently exploring the entire solution space for the best TSV array utilization. Our DTCS method has been applied for both gate-level chip-scale 3D clock designs and block-level global clock designs. Experimental results show that our algorithm effectively finds close-to-optimal solutions for power efficiency with skew minimization in short runtime. Our DTCS method achieves up to 13.5% average power reduction with more than 50% fewer TSVs compared with the straightforward extension on the existing algorithm.

References

  1. K. Athikulwongse, et al. Stress-Driven 3D-IC Placement with TSV Keep-Out Zone and Regularity Study. In ICCAD, pages 669--674, 2010. Google ScholarGoogle ScholarDigital LibraryDigital Library
  2. K. D. Boese and A. B. Kahng. Zero-Skew Clock Routing Trees with Minimum Wirelength. In Proc. IEEE Intl. Conf. on ASIC, pages 1.1.1--1.1.5, 1992.Google ScholarGoogle ScholarCross RefCross Ref
  3. M. Jackson, et al. Clock Routing for High-Performance ICs. In DAC, pages 573--579, 1990. Google ScholarGoogle ScholarDigital LibraryDigital Library
  4. M. Jung, et al. TSV Stress-aware Full-Chip Mechanical Reliability Analysis and Optimization for 3D IC. In DAC, pages 188--193, 2011. Google ScholarGoogle ScholarDigital LibraryDigital Library
  5. T.-Y. Kim and T. Kim. Clock Tree Embedding for 3D ICs. In ASPDAC, pages 486--491, 2010. Google ScholarGoogle ScholarDigital LibraryDigital Library
  6. T.-Y. Kim and T. Kim. Clock Tree Synthesis with Pre-Bond Testability for 3D Stacked IC Designs. In DAC, pages 723--728, 2010. Google ScholarGoogle ScholarDigital LibraryDigital Library
  7. D. H. Kim, et al. A Study of Through-Silicon-Via Impact on the 3D Stacked IC Layout. In ICCAD, pages 674--680, 2009. Google ScholarGoogle ScholarDigital LibraryDigital Library
  8. J. H. Lau. TSV Manufacturing Yield and Hidden Costs for 3D IC Integration. In ECTC, pages 1031--1042, 2010.Google ScholarGoogle Scholar
  9. C. Liu, et al. Signal Integrity Analysis and Optimization for 3D ICs. In ISQED, pages 42--49, 2011.Google ScholarGoogle ScholarCross RefCross Ref
  10. C.-L. Lung, et al. Fault-Tolerant 3D Clock Network. In DAC, pages 645--651, 2011. Google ScholarGoogle ScholarDigital LibraryDigital Library
  11. A. Mercha, et al. Comprehensive Analysis of the Impact of Single and Arrays of Through Silicon Vias Induced Stress on High-k / Metal Gate CMOS Performance. In IEDM, pages 2.2.1--2.2.4, 2010.Google ScholarGoogle ScholarCross RefCross Ref
  12. M. Pathak, et al. Through-Silicon-Via Management during 3D Physical Design: When to Add and How Many? In ICCAD, pages 387--394, 2010. Google ScholarGoogle ScholarDigital LibraryDigital Library
  13. I. Savidis and E. Friedman. Closed-Form Expressions of 3-D Via Resistance, Inductance, and Capacitance. IEEE Transactions on Electron Devices, 56(9):1873--1881, 2009.Google ScholarGoogle ScholarCross RefCross Ref
  14. T. Song, et al. Analysis of TSV-to-TSV Coupling with High-Impedance Termination in 3D ICs. In ISQED, pages 122--128, 2011.Google ScholarGoogle ScholarCross RefCross Ref
  15. G. Van der Plas, et al. Design Issues and Considerations for Low-Cost 3-D TSV IC Technology. JSSC, 46(1):293--307, 2011.Google ScholarGoogle Scholar
  16. J.-S. Yang, et al. TSV Stress Aware Timing Analysis With Applications To 3D-IC Layout Optimization. In DAC, pages 803--806, 2010. Google ScholarGoogle ScholarDigital LibraryDigital Library
  17. X. Zhao, et al. Pre-bond Testable Low-Power Clock Tree Design for 3D Stacked ICs. In ICCAD, pages 184--190, 2009. Google ScholarGoogle ScholarDigital LibraryDigital Library
  18. X. Zhao, et al. Low-Power and Reliable Clock Network Design for Through-Silicon Via (TSV) Based 3D ICs. IEEE Transactions on Components, Packaging and Manufacturing Technology, 1(2):247--259, 2011.Google ScholarGoogle ScholarCross RefCross Ref

Index Terms

  1. TSV array utilization in low-power 3D clock network design

    Recommendations

    Comments

    Login options

    Check if you have access through your login credentials or your institution to get full access on this article.

    Sign in
    • Published in

      cover image ACM Conferences
      ISLPED '12: Proceedings of the 2012 ACM/IEEE international symposium on Low power electronics and design
      July 2012
      438 pages
      ISBN:9781450312493
      DOI:10.1145/2333660

      Copyright © 2012 ACM

      Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

      Publisher

      Association for Computing Machinery

      New York, NY, United States

      Publication History

      • Published: 30 July 2012

      Permissions

      Request permissions about this article.

      Request Permissions

      Check for updates

      Qualifiers

      • research-article

      Acceptance Rates

      Overall Acceptance Rate398of1,159submissions,34%

      Upcoming Conference

      ISLPED '24

    PDF Format

    View or Download as a PDF file.

    PDF

    eReader

    View online with eReader.

    eReader