skip to main content
10.1145/2206781.2206865acmconferencesArticle/Chapter ViewAbstractPublication PagesglsvlsiConference Proceedingsconference-collections
research-article

A dual-phase compression mechanism for hybrid DRAM/PCM main memory architectures

Published:03 May 2012Publication History

ABSTRACT

Phase-Change Memory (PCM) is emerging as a promising new memory technology, due to its inherent ability to scale deeply into the nanoscale regime. However, PCM is still marred by a duet of potentially show-stopping deficiencies: poor write performance and limited durability. These weaknesses have urged designers to develop various supporting architectural techniques to aid and complement the operation of the PCM, while mitigating its innate flaws. One promising such solution is the deployment of hybridized memory architectures that fuse DRAM and PCM, in order to combine the best attributes of each technology. In this paper, we introduce a Dual-Phase Compression (DPC) scheme specifically optimized for DRAM/PCM hybrid environments. Extensive simulations with traces from real applications running on a full-system simulator of a multicore system demonstrate 35.1% performance improvement and 29.3% energy reduction, on average, as compared to a baseline DRAM/PCM hybrid implementation.

References

  1. A. R. Alameldeen and D. A. Wood. Adaptive cache compression for high-performance processors. In Proceedings of the ISCA 2004. Google ScholarGoogle ScholarDigital LibraryDigital Library
  2. A. R. Alameldeen and D. A. Wood. Frequent pattern compression: A significance-based compression scheme for l2 caches. In Technical Report 1500, Computer Sciences Department, University of Wisconsin-Madison, April 2004.Google ScholarGoogle Scholar
  3. R. Bagrodia and et al. Parsec: A parallel simulation environment for complex systems. Computer, 31:77--85, October 1998. Google ScholarGoogle ScholarDigital LibraryDigital Library
  4. A. Bivens and et al. Architectural design for next generation heterogeneous memory systems. IEEE International Memory Workshop, pages 1 -- 4, May 2010.Google ScholarGoogle ScholarCross RefCross Ref
  5. S. Cho and H. Lee. Flip-N-Write: A simple deterministic technique to improve PRAM write performance, energy and endurance. In Proceedings of the MICRO 2009. Google ScholarGoogle ScholarDigital LibraryDigital Library
  6. R. Das and et al. Performance and power optimization through data compression in network-on-chip architectures. Proceedings of the HPCA 2008, pages 215 -- 225.Google ScholarGoogle Scholar
  7. G. Dhiman, R. Ayoub, and T. Rosing. PDRAM: a hybrid PRAM and DRAM main memory system. In Proceedings of the DAC 2009, pages 664--469. Google ScholarGoogle ScholarDigital LibraryDigital Library
  8. A. P. Ferreira and et al. Using PCM in next-generation embedded space applications. Proceedings of the RTAS 2010. Google ScholarGoogle ScholarDigital LibraryDigital Library
  9. R. F. Freitas and W. W. Wilcke. Storage-class memory: The next storage system technology. IBM Journal of Research and Development, 52(4/5):439 -- 447, 2008. Google ScholarGoogle ScholarDigital LibraryDigital Library
  10. HP Labs. CACTI: an integrated cache and memory access time, cycle time, area, leakage and dynamic power model. http://www.hpl.hp.com/research/cacti/.Google ScholarGoogle Scholar
  11. H. Jung and et al. A 58nm 1.8v 1Gb PRAM with 6.4MB/s program BW. In Proceedings of IEEE ISSCC 2011.Google ScholarGoogle Scholar
  12. S. Kang and et al. A 0.1/spl mu/m 1.8V 256Mb 66MHz synchronous burst PRAM. In Proceedings of the ISSCC 2006, pages 487 -- 496.Google ScholarGoogle Scholar
  13. J. Kong and H. Zhou. Improving privacy and lifetime of PCM-based main memory. In Proceedings of the DSN 2010.Google ScholarGoogle Scholar
  14. B. C. Lee, E. Ipek, O. Mutlu, and D. Burger. Architecting phase change memory as a scalable DRAM alternative. In Proceedings of the ISCA 2009, pages 2--13. Google ScholarGoogle ScholarDigital LibraryDigital Library
  15. P. S. Magnusson and et al. Simics: A full system simulation platform. Computer, 35:50--58, February 2002. Google ScholarGoogle ScholarDigital LibraryDigital Library
  16. M. K. Qureshi, V. Srinivasan, and J. A. Rivers. Scalable high performance main memory system using phase-change memory technology. In Proceedings of the ISCA 2009. Google ScholarGoogle ScholarDigital LibraryDigital Library
  17. Samsung Electronics. DDR2 registered SDRAM module, M393T5160QZA. Datasheet.Google ScholarGoogle Scholar
  18. G. Sun, D. Niu, J. Ouyang, and Y. Xie. A frequent-value based pram memory architecture. In Proceedings of the ASP-DAC 2011, pages 211--216. Google ScholarGoogle ScholarDigital LibraryDigital Library
  19. J. Yang, Y. Zhang, and R. Gupta. Frequent value compression in data caches. In Proceedings of the MICRO 2000, pages 258--265. Google ScholarGoogle ScholarDigital LibraryDigital Library
  20. W. Zhang and T. Li. Characterizing and mitigating the impact of process variations on phase changed based memory systems. In Proceedings of the MICRO 2009. Google ScholarGoogle ScholarDigital LibraryDigital Library
  21. P. Zhou, B. Zhao, J. Yang, and Y. Zhang. A durable and energy efficient main memory using phase change memory technology. In Proceedings of the ISCA 2009, pages 14--23. Google ScholarGoogle ScholarDigital LibraryDigital Library

Index Terms

  1. A dual-phase compression mechanism for hybrid DRAM/PCM main memory architectures

      Recommendations

      Comments

      Login options

      Check if you have access through your login credentials or your institution to get full access on this article.

      Sign in
      • Published in

        cover image ACM Conferences
        GLSVLSI '12: Proceedings of the great lakes symposium on VLSI
        May 2012
        388 pages
        ISBN:9781450312448
        DOI:10.1145/2206781

        Copyright © 2012 ACM

        Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

        Publisher

        Association for Computing Machinery

        New York, NY, United States

        Publication History

        • Published: 3 May 2012

        Permissions

        Request permissions about this article.

        Request Permissions

        Check for updates

        Qualifiers

        • research-article

        Acceptance Rates

        Overall Acceptance Rate312of1,156submissions,27%

        Upcoming Conference

        GLSVLSI '24
        Great Lakes Symposium on VLSI 2024
        June 12 - 14, 2024
        Clearwater , FL , USA

      PDF Format

      View or Download as a PDF file.

      PDF

      eReader

      View online with eReader.

      eReader