skip to main content
10.1145/1785481.1785553acmconferencesArticle/Chapter ViewAbstractPublication PagesglsvlsiConference Proceedingsconference-collections
research-article

A virtual platform environment for exploring power, thermal and reliability management control strategies in high-performance multicores

Published:16 May 2010Publication History

ABSTRACT

The use of high-end multicore processors today can incur high power density with significant variability in spatial and temporal usage of resources by workloads. This situation leads to power and temperature hotspots, which in turn may lead to non-uniform ageing and accelerated chip failure. These drawbacks can be mitigated by online tuning of system performance and adopting closed-loop thermal and reliability management policies. The development and evaluation of these policies cannot be performed solely on real hardware - due to observability and flexibility limitations or just by relying on trace-driven simulation, due to dependencies present among power, thermal effects, reliability and performance. We present a complete and virtual platform to develop, simulate and evaluate power, temperature and reliability management control strategies for high-performance multicores. The accuracy and effectiveness of our solution are ensured by integrating a established system simulator (Simics) with models for power consumption, temperature distribution and aging. The models are based on characterization on real hardware. Control strategies exploration and design are carried out in the MATLAB/Simulink framework allowing the use of control theory tools. Fast prototyping is achieved by developing a suitable interface between Simics and MATLAB/Simulink, enabling co-simulation of hardware platforms and controllers.

References

  1. Argollo E. et al. COTSon: Infrastructure for full system simulation. In ACM SIGOPS Operating System Reviews, Jan 2009 Google ScholarGoogle ScholarDigital LibraryDigital Library
  2. Atienza D. et al. A fast HW/SW FPGA-based thermal emulation framework for multi-processor system-on-chip. Design Automation Conference (DAC), pages 618--623, 2006. Google ScholarGoogle ScholarDigital LibraryDigital Library
  3. Benini L. et al. MPARM: Exploring the multi-processor SoC design space with SystemC. The Journal of VLSI Signal Processing, 41:169--182, Sep.2005. Google ScholarGoogle ScholarDigital LibraryDigital Library
  4. Brooks David et al. Wattch: a framework for architectural-level power analysis and optimizations. SIGARCH Comput. Archit. News, 28(2):83--94,2000. Google ScholarGoogle ScholarDigital LibraryDigital Library
  5. Hamann H. F. et al. Hotspot-limited microprocessors: Direct temperature and power distribution measurements. IEEE Journal of Solid-State Circuits, 42:56--65, Jan. 2007.Google ScholarGoogle ScholarCross RefCross Ref
  6. Hanson H. et al. Thermal response to DVFS: analysis with an Intel R Pentium R m. In ISLPED '07, pages 219--224, 2007. Google ScholarGoogle ScholarDigital LibraryDigital Library
  7. Huang Wei et al. Accurate, pre-RTL temperature-aware design using a parameterized, geometric thermal model. IEEE Trans. Comput., 57(9):1277--1288, 2008. Google ScholarGoogle ScholarDigital LibraryDigital Library
  8. Martin Milo M. K. et al. Multifacet's general execution-driven multiprocessor simulator (GEMS) toolset. SIGARCH Comput. Archit News, 33(4):92--99, 2005. Google ScholarGoogle ScholarDigital LibraryDigital Library
  9. N. Sakran et al. The implementation of the 65nm dual-core 64b merom processor. In IEEE International Solid-State Circuits Conference, 2007.Google ScholarGoogle Scholar
  10. Nathan L. Binkert et al. The m5 simulator: Modeling networked systems.IEEE Micro, 26:52--60, 2006. Google ScholarGoogle ScholarDigital LibraryDigital Library
  11. P Chaparro et al. Understanding the thermal implications of multi-core architectures. IEEE Transactions on Parallel and Distributed Systems,18(8):1055--1065, Aug. 2007. Google ScholarGoogle ScholarDigital LibraryDigital Library
  12. Paci G. et al. Exploring "temperature-aware" design in low-power MPSoCs. In DATE '06, pages 838--843, 2006. Google ScholarGoogle ScholarDigital LibraryDigital Library
  13. Thoziyoor Shyamkumar et al. A comprehensive memory modeling tool and its application to the design and analysis of future memory hierarchies.ISCA '08, pages 51--62, 2008. Google ScholarGoogle ScholarDigital LibraryDigital Library
  14. Tiwari A. et al. Facelift: Hiding and slowing down aging in multicores.MICRO '08, pages 129--140, 2008. Google ScholarGoogle ScholarDigital LibraryDigital Library
  15. Intel Corporation. Intel (R) 64 and IA-32 Architectures Software Developer's Manual -- Volume 3B, June 2009.Google ScholarGoogle Scholar
  16. Intel Corporation. Intel (R) Xeon (R) Processor 7200 Series and 7300 Series Datasheet- Datasheet, September 2008.Google ScholarGoogle Scholar
  17. ACPI Advanced Con?guration and Power Interface Speci?cation http://www.Intel.com/products/processor/manuals/Google ScholarGoogle Scholar
  18. IDC. Worldwide server power and cooling expense 2006, 2010 forecast. http://www.sun.com/service/eco/IDCWorldwideServerPower-Consumption.pdf.Google ScholarGoogle Scholar
  19. Intel Corporation. Intel Corporation. Intel R turbo boost technology in Intel (R) core (TM) microarchitecture (Nehalem) based processors. Technical report, 2008.Google ScholarGoogle Scholar
  20. The MathWorks. MATLAB & Simulink. http://www.mathworks.com/.Google ScholarGoogle Scholar
  21. Virtutech. Virtutech Simics. http://www.virtutech.com/.Google ScholarGoogle Scholar

Index Terms

  1. A virtual platform environment for exploring power, thermal and reliability management control strategies in high-performance multicores

        Recommendations

        Comments

        Login options

        Check if you have access through your login credentials or your institution to get full access on this article.

        Sign in
        • Published in

          cover image ACM Conferences
          GLSVLSI '10: Proceedings of the 20th symposium on Great lakes symposium on VLSI
          May 2010
          502 pages
          ISBN:9781450300124
          DOI:10.1145/1785481

          Copyright © 2010 ACM

          Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

          Publisher

          Association for Computing Machinery

          New York, NY, United States

          Publication History

          • Published: 16 May 2010

          Permissions

          Request permissions about this article.

          Request Permissions

          Check for updates

          Qualifiers

          • research-article

          Acceptance Rates

          Overall Acceptance Rate312of1,156submissions,27%

          Upcoming Conference

          GLSVLSI '24
          Great Lakes Symposium on VLSI 2024
          June 12 - 14, 2024
          Clearwater , FL , USA

        PDF Format

        View or Download as a PDF file.

        PDF

        eReader

        View online with eReader.

        eReader