skip to main content
10.1145/1283780.1283791acmconferencesArticle/Chapter ViewAbstractPublication PagesislpedConference Proceedingsconference-collections
Article

Evaluating design tradeoffs in on-chip power management for CMPs

Published:27 August 2007Publication History

ABSTRACT

In light of the recent shift towards multi-core processor designs, dynamic power-management techniques that were designed for single-core microprocessors must be augmented with larger chip-level control. In this paper, we explore the design-tradeoffs associated with CMP power management solutions in a full-system simulation environment. We show that global power management solutions outperform solutions that locally manage power per-core. We then show that global power management is most effective at finer granularities that allow it to adapt to changing workload behavior and thus conclude that on-chip hardware solutions for CMP power management are an important consideration for future CMP microprocessors.

References

  1. M. Annavaram, E. Grochowski, and J. Shen. Mitigating Amdahl's Law Through EPI Throttling. In Proceedings of the 32nd International Symposium on Computer Architecture (ISCA-32), 2005. Google ScholarGoogle ScholarDigital LibraryDigital Library
  2. P. Bohrer, J. Peterson, H. Shafi, "Mambo: Advances in PowerPC System Simulation", Invited Tutorial, 2003 IEEE Int'l Symposium on Performance Analysis of Systems and Software (ISPASS), March 9, 2003, Austin, Texas.Google ScholarGoogle Scholar
  3. P. Bose, D. Brooks, A. Buyuktosunoglu, P. Cook, K. Das, P. Emma, M. Gschwind, H. Jacobson, T. Karkhanis, S. Schuster, J. Smith, V. Srinivasan, V. Zyuban, D. Albonesi, S. Dwarkadas. Early-Stage Definition of LPX: A Low Power Issue-Execute Processor Prototype. Power-Aware Computer Systems (PACS) workshop in conjunction with 8th International Symposium on High Performance Computer Architecture (HPCA-8), 2002.Google ScholarGoogle Scholar
  4. K. Choi, R. Soma, and M. Pedram. Dynamic Voltage and Frequency Scaling based on Workload Decomposition. In Proceedings of International Symposium on Low Power Electronics and Design (ISLPED), Aug. 2004. Google ScholarGoogle ScholarDigital LibraryDigital Library
  5. J. D. Davis, J. Laudon, and K. Olukotun. Maximizing CMP Throughput with Mediocre Cores. In 14th International Conference on Parallel Architecture and Compilation Techniques (PACT'05), 2005. Google ScholarGoogle ScholarDigital LibraryDigital Library
  6. J. Donald and M. Martonosi. Techniques for Multicore Thermal Management: Classification and New Exploration. In Proceedings of the 33th International Symposium on Computer Architecture (ISCA-33), 2006. Google ScholarGoogle ScholarDigital LibraryDigital Library
  7. E. Grochowski, R. Ronen, J. Shen, and H. Wang. Best of Both Latency and Throughput. In Proc. Int'l Conf. on Computer Design (ICCD), 2004. Google ScholarGoogle ScholarDigital LibraryDigital Library
  8. C. Isci, A. Buyuktosunoglu, C. Y. Cher, P. Bose, and M. Martonosi. An Analysis of Efficient Multi-Core Global Power Management Policies: Maximizing Performance for a Given Power Budget. In Proceedings of the International Symposium on Microrchitecture (MICRO) 2006. Google ScholarGoogle ScholarDigital LibraryDigital Library
  9. P. Juang, Q. Wu, L.-S. Peh, M. Martonosi, and D. Clark. Coordinated, Distributed, Formal Energy Management of Chip Multiprocessors. In Proceedings of International Symposium on Low Power Electronics and Design (ISLPED'05), Aug. 2005. Google ScholarGoogle ScholarDigital LibraryDigital Library
  10. R. Kalla, B. Sinharoy, and J. Tendler. IBM POWER5 Chip: A Dual-Core Multithreaded Processor. IEEE Micro, 24(2):40--47, Mar/Apr 2004. Google ScholarGoogle ScholarDigital LibraryDigital Library
  11. P. Kongetira. A 32-way Multithreaded SPARC(R) Processor. Hot Chips 15, Aug 2004.Google ScholarGoogle Scholar
  12. R. Kotla, A. Devgan, S. Ghiasi, T. Keller, and F. Rawson. Characterizing the Impact of Different Memory-Intensity Levels. In IEEE 7th Annual Workshop on Workload Characterization (WWC-7), Oct. 2004.Google ScholarGoogle Scholar
  13. K. Krewell. UltraSPARC IV Mirrors Predecessor: Sun Builds Dual-Core Chip in 130nm. Microprocessor Report, Nov 2003.Google ScholarGoogle Scholar
  14. J. Li and J. Martinez. Dynamic Power-Performance Adaptation of Parallel Computation on Chip Multiprocessors. In Proc. International Symposium on High-Performance Computer Architecture (HPCA-12), 2006.Google ScholarGoogle Scholar
  15. Y. Li, D. Brooks, Z. Hu, and K. Skadron. Performance, Energy and Temperature Considerations for SMT and CMP Architectures. In 11th International Symposium on High Performance Computer Architecture (HPCA-11), 2005. Google ScholarGoogle ScholarDigital LibraryDigital Library
  16. S. Manne, A. Klauser, and D. Grunwald. Pipeline Gating: Speculation Control for Energy Reduction. In Proceedings of the 25th International Symposium on Computer Architecture, pages 132--141, June/July 1998. Google ScholarGoogle ScholarDigital LibraryDigital Library
  17. C. McNairy and R. Bhatia. Montecito - The Next Product in the Itanium(R) Processor Family. Hot Chips 15, Aug 2004.Google ScholarGoogle Scholar
  18. A. Merkel. Balancing Power Consumption in Multiprocessor Systems. PhD thesis, Sept. 2005. System Architecture Group, University of Karlsruhe, Diploma Thesis.Google ScholarGoogle Scholar
  19. K. Olukotun, B. A. Nayfeh, L. Hammond, K. Wilson, and K.-Y. Chang. The Case for a Single-Chip Multiprocessor. In Int'l Conf. on Architectural Support for Programming Languages and Operating Systems (ASPLOS VII), Oct. 1996. Google ScholarGoogle ScholarDigital LibraryDigital Library
  20. M. Powell, M. Gomaa, and T. N. Vijaykumar. Heat-and-run: Leveraging SMT and CMP to manage power density through the operating system. In Eleventh International Conference on Architectural Support for Programming Languages and Operating Systems (ASPLOS) XI, 2004. Google ScholarGoogle ScholarDigital LibraryDigital Library
  21. L. Spracklen and S. G. Abraham. Chip Multithreading: Opportunities and Challenges. In 11th International Symposium on High Performance Computer Architecture (HPCA-11), 2005. Google ScholarGoogle ScholarDigital LibraryDigital Library
  22. S. C. Woo, M. Ohara, E. Torrie, J. P. Singh, and A. Gupta. The SPLASH-2 Programs: Characterization and Methodological Considerations. In Proceedings of the 22nd International Symposium on Computer Architecture, pages 24--36, Santa Margherita Ligure, Italy, June 1995. Google ScholarGoogle ScholarDigital LibraryDigital Library
  23. M. T. Zhang. Powering Intel(r) Pentium(r) 4 Generation Processors. In IEEE Electrical Performance of Electronic Packaging Conference, pages 215--218, 2001.Google ScholarGoogle Scholar

Index Terms

  1. Evaluating design tradeoffs in on-chip power management for CMPs

    Recommendations

    Comments

    Login options

    Check if you have access through your login credentials or your institution to get full access on this article.

    Sign in
    • Published in

      cover image ACM Conferences
      ISLPED '07: Proceedings of the 2007 international symposium on Low power electronics and design
      August 2007
      432 pages
      ISBN:9781595937094
      DOI:10.1145/1283780

      Copyright © 2007 ACM

      Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

      Publisher

      Association for Computing Machinery

      New York, NY, United States

      Publication History

      • Published: 27 August 2007

      Permissions

      Request permissions about this article.

      Request Permissions

      Check for updates

      Qualifiers

      • Article

      Acceptance Rates

      Overall Acceptance Rate398of1,159submissions,34%

      Upcoming Conference

      ISLPED '24

    PDF Format

    View or Download as a PDF file.

    PDF

    eReader

    View online with eReader.

    eReader