skip to main content
10.1145/1023833.1023854acmconferencesArticle/Chapter ViewAbstractPublication PagesesweekConference Proceedingsconference-collections
Article

Reducing both dynamic and leakage energy consumption for hard real-time systems

Published:22 September 2004Publication History

ABSTRACT

While the dynamic voltage scaling (DVS) techniques are efficient in reducing the dynamic energy consumption for the processor, varying voltage alone becomes less effective for the overall power reduction as the leakage power is growing rapidly, i.e., five times per technical generation as predicted. In this paper, we study the problem of reducing both the static and dynamic power consumption at the same time for the hard real-time system scheduled by the earliest deadline first (EDF) strategy. To balance the dynamic and leakage energy consumption, higher-than-necessary processor speeds may be required when executing real-time tasks, which can result in a large number of idle intervals. To effectively reduce the energy consumption during these idle intervals, we propose a technique that can effectively merge these scattered intervals into larger ones without causing any deadline miss. Simulation studies demonstrate the effectiveness of our approach. Specifically, our experiments show that the proposed technique can lead up to more than 80% idle energy savings than that by the previous ones.

References

  1. F. Assaderaghi, D. Sinitsky, S. A. Parke, J. Bokor, P. Ko, and C. Hu. Dynamic threshold-voltage mosfet (dtmos) for ultra-low voltage vlsi. IEEE Trans. on Elec. Dev., 44(3):414--422, Mar 1997.Google ScholarGoogle ScholarCross RefCross Ref
  2. H. Aydin, R. Melhem, D. Mosse, and P. Alvarez. Dynamic and aggressive scheduling techniques for power aware real-time systems. RTSS, 2001. Google ScholarGoogle ScholarDigital LibraryDigital Library
  3. B. H. Calhoun, F. A. Honore, and A. Chandrakasan. Design methodology for fine-grained leakage control in mtcmos. ISLPED, pages 104--109, 2003. Google ScholarGoogle ScholarDigital LibraryDigital Library
  4. A. P. Chandrakasan, S. Sheng, and R. W. Brodersen. Low-power cmos digital design. IEEE Journal of Solid-State Circuits, 27(4):473--484, April 1992.Google ScholarGoogle ScholarCross RefCross Ref
  5. S. Duarte, Y. Tsai, N. Vijaykrishnan, and M. Irwin. Evaluating run-time techniques for leakage power reduction. VLSID'02, 2002. Google ScholarGoogle ScholarDigital LibraryDigital Library
  6. J. Halter and F. Najm. A gate-level leakage power reduction method for ultra low power cmos circuits. CICC, pages 475--478, 1997.Google ScholarGoogle ScholarCross RefCross Ref
  7. Intel. PXA250 and PXA210 Applications Processors Design Guide. Intel, 2002.Google ScholarGoogle Scholar
  8. S. Irani, S. Shukla, and R. Gupta. Algorithms for power savings. ISDA, 2003. Google ScholarGoogle ScholarDigital LibraryDigital Library
  9. T. Ishihara and H. Yasuura. Voltage scheduling problem for dynamically variable voltage processors. ISLPED, pages 197--202, August 1998. Google ScholarGoogle ScholarDigital LibraryDigital Library
  10. ITRS. International Technology Roadmap for Semiconductors. International SEMATECH, Austin, TX., http://public.itrs.net/.Google ScholarGoogle Scholar
  11. R. Jejurikar, C. Pereira, and R. Gupta. Leakage aware dynamic voltage scaling for real-time embedded systems. DAC, pages 275 -- 280, 2004. Google ScholarGoogle ScholarDigital LibraryDigital Library
  12. M. Johnson, D. Somasekhar, and K. Roy. Leakage control with efficient use of transistor stacks in single threshold cmos. DAC, pages 442--445, 1999. Google ScholarGoogle ScholarDigital LibraryDigital Library
  13. Y. Lee, K. Reddy, and C. Krishna. Scheduling techniques for reducing leakage power in hard real-time systems. ECRTS, 2003.Google ScholarGoogle Scholar
  14. C. L. Liu and J. W. Layland. Scheduling algorithms for multiprogramming in a hard real-time environment. Journal of the ACM, 17(2):46--61, 1973. Google ScholarGoogle ScholarDigital LibraryDigital Library
  15. S. Martin, K. Flautner, T. Mudge, and D. Blaauw. Combined dynamic voltage scaling and adaptive body biasing for lower power microporcessor under dynamic workloads. ICCAD, 2002. Google ScholarGoogle ScholarDigital LibraryDigital Library
  16. B. Mochocki, X. Hu, and G. Quan. A realistic variable voltage scheduling model for real-time applications. ICCAD, 2002. Google ScholarGoogle ScholarDigital LibraryDigital Library
  17. C. Neau and K. Roy. Optimal body bias selection for leakage improvement and process compensation over different technology generations. ISLPED, pages 116--121, 2003. Google ScholarGoogle ScholarDigital LibraryDigital Library
  18. P. Pillai and K. G. Shin. Real-time dynamic voltage scaling for low-power embedded operating systems. In SOSP, 2001. Google ScholarGoogle ScholarDigital LibraryDigital Library
  19. L. Yan, J. Luo, and N. K. Jha. Combined dynamic voltage scaling and adaptive body biasing for heterogeneous distributed real-time embedded systems. ICCAD, 2003. Google ScholarGoogle ScholarDigital LibraryDigital Library
  20. F. Yao, A. Demers, and S. Shenker. A scheduling model for reduced cpu energy. FOCS, pages 374--382, 1995. Google ScholarGoogle ScholarDigital LibraryDigital Library

Index Terms

  1. Reducing both dynamic and leakage energy consumption for hard real-time systems

Recommendations

Comments

Login options

Check if you have access through your login credentials or your institution to get full access on this article.

Sign in
  • Published in

    cover image ACM Conferences
    CASES '04: Proceedings of the 2004 international conference on Compilers, architecture, and synthesis for embedded systems
    September 2004
    324 pages
    ISBN:1581138903
    DOI:10.1145/1023833

    Copyright © 2004 ACM

    Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

    Publisher

    Association for Computing Machinery

    New York, NY, United States

    Publication History

    • Published: 22 September 2004

    Permissions

    Request permissions about this article.

    Request Permissions

    Check for updates

    Qualifiers

    • Article

    Acceptance Rates

    Overall Acceptance Rate52of230submissions,23%

    Upcoming Conference

    ESWEEK '24
    Twentieth Embedded Systems Week
    September 29 - October 4, 2024
    Raleigh , NC , USA

PDF Format

View or Download as a PDF file.

PDF

eReader

View online with eReader.

eReader