Exploring Winograd Convolution for Cost-Effective Neural Network Fault Tolerance | IEEE Journals & Magazine | IEEE Xplore