ARTEMIS: An Aging-Aware Runtime Application Mapping Framework for 3D NoC-Based Chip Multiprocessors | IEEE Journals & Magazine | IEEE Xplore