Introduction

Thanks to the remarkable developments of nanotechnology in the last few decades which witness the amazing progress in improving the properties and efficiencies of many end products often used in our everyday life. One such development is in the area of micro- and nanoelectronics1,2,3. In this context, the advanced fabrication techniques have made the rapid miniaturization of devices and machines possible. This progress is largely due to downscaling of patterned transistors in integrated circuits (ICs) as patterning is one among those most important steps followed during electronics device fabrication. Among the various techniques that have been developed over the years to fabricate ICs for electronic devices, due to its high resolution and throughput capability, photolithography as the most promising top-down nanofabrication method has been the workhorse for large scale production of micro/nanoscale devices in industries4,5. While immersion optical lithography technique has been the dominant patterning technique in semiconductor industries, extreme ultraviolet lithography (EUVL) using 13.5 nm light source is being considered to be the most potential and cost-effective next generation lithography (NGL) candidate for patterning ultrafine nanofeatures with high resolution, and this technique is approaching well into the commercialization6,7,8,9,10.

In photolithography, typically a photoresist (PR) is used as the key soft material to transfer desired images onto the silicon substrate11,12,13. Different types of etching techniques are used to transfer these images ultimately into the semiconductor patterning layer. Therefore, PR plays an important role in determining the pattern resolution, line-edge roughness (LER) and line-width roughness (LWR) of the end patterns. Hence, concurrently with need for the development of EUVL exposure tools, there is a dire need for subsequent optimization and upgrading of photoresist materials for the successful implementation of next generation lithography (NGL) tools and techniques.

Although chemically amplified resists (CARs) have been the workhorses mainly because of their high sensitivity and resolution, they often suffer from the issues of post exposure instability and acid diffusion that ultimately affects the LER or LWR of transferred patterns14,15,16,17,18. To overcome these shortcomings, researchers have focused on the development of non-chemically amplified resists (n-CARs) with improved lithography performance and capable of patterning both isolated and dense nanopatterns to ensure high throughput production of equally isolated (IC logic gates) and dense areas of devices (dynamic random-access memory (DRAM), ferroelectric random-access memory (FRAM)) for future successful implementations of next generation lithography (NGL) technology nodes19,20,21,22,23,24,25,26,27,28,29,30,31,32,33.

Among the various nanopattrens that are generated in semiconductor industries, complex nanofeatures such as nanodots, nanopillars, nanorings etc., are of special interests as they find wide applications in high-density magnetic recording, photonic crystals, information storage, micro-lens arrays, tissue engineering, catalysis and many more to mention34,35,36,37,38,39,40,41,42,43. These potential applications have garnered much interests among researchers for new methodologies and materials for achieving much ordered dense arrays of complex nanofeatures. The present manuscript demonstrates the potential applications of our recently developed polymeric non-chemically amplified negative tone photoresist, polyMAPDST, for patterning highly regular 34 nm complex nanopatterns using EUVL. PolyMAPDST has been prepared from MAPDST (4-(methacryloyloxy)phenyl)dimethylsulfoniumtriflate) monomer which contains radiation sensitive trifluoromethanesulfonate group. This trifluoromethanesulfonate group undergoes decomposition upon exposure to radiation, and brings in changes in polarity in the exposed area as compared to unexposed area. Therefore, polyMAPDST does not require any chemical amplification, and is directly sensitive to radiation. Complex nanofeatures, patterned using EUV lithography technique, have been characterized using field emission scanning electron microscope (FESEM) and atomic force microscope (AFM). Finally, the usefulness of polyMAPDST has been successfully established by transferring features into silicon substrate.

Results and Discussions

Extreme Ultraviolet Lithography (EUVL) for nanopatterning

PolyMAPDST (Fig. 1) was synthesized following a four-step process21, and the end-product was obtained as white solid in good yields. The final product was characterized using various instrumental techniques that include FT-IR, NMR, TGA, DSC, and gel permeation chromatography (GPC). The characterization data were in good agreement with the reported data21.

Figure 1
figure 1

Chemical structure of polyMAPDST photoresist.

Having pure photoresist polyMAPDST in hand, we started investigating its potential in patterning complex nanofeatures using EUV lithography. The resist solutions were prepared in methanol at 2% by weight in methanol and filtered by 0.2 micron teflon filter to remove large-sized particles in order to form uniform pinhole free resist film onto the silicon substrate. The filtered resist solution was spin coated at 5000 rpm speed for 60 sec onto HMDS treated 200 mm silicon wafer for around 35 nm thin films before EUV exposure evaluation. PolyMAPDST was prebaked at 115 °C for 90 sec. The center dose value was calculated to be 112.56 mJ/cm2. After optimizing the center dose value for polyMAPDST, the silicon substrates layered with photoresist were flood exposed with the exposure dose 88.19 mJ/cm2 using SEMATECH Berkeley Microfield Exposure Tool (MET) which is a high resolution EUV (13.5 nm) lithography tool. Samples were exposed using mask IMO228775 with Field of R4C3. After completion of EUV exposure, the exposed polyMAPDST layer was subjected to post exposure bake at 100 °C for 90 sec followed by developing of patterns in 0.002 N tetramethyl ammonium hydroxide (TMAH) solution in DI water (pH = 11.5) at room temperature for 15 sec. Then the developed patterns were rinsed with DI water for about 8 sec followed by drying with a mild flow of dry nitrogen gas (Fig. 2).

Figure 2
figure 2

Schematic diagram of basic approach for nanopatterning using polyMAPDST.

As mentioned previously, the radiation sensitive functionality triflate unit undergoes photodegradation upon exposure with high energy EUV photon21,13, and ultimately the sulfonium (CH3-S+-CH3) group attached to phenyl ring of polyMAPDST gets converted to thioether (-S-CH3) functionality21,23. Therefore, the EUV mediated photodegradation process indeed induces polarity switching in the exposed area as compared to that of unexposed area by converting high polar hydrophilic sulfonium unit into nonpolar thioether functionality (Fig. 3) resulting in changes in their solubility in polar aqueous TMAH developer21. The exposed area remains undissolved whereas the unexposed area gets dissolved in TMAH solution; therefore, polyMAPDST acts as negative tone photoresist. It is worth noting that no external chemical amplification is necessary to bring in substantial change in polarity between exposed and unexposed area of polyMAPDST, and thus, it can be used as non-chemically amplified photoresists for patterning complex features.

Figure 3
figure 3

Designed polymer microstructure and solubility switching for EUV resist system.

The developed nanopatterns were characterized first using field emission scanning electron microscope (FESEM) which revealed the potential of polyMAPDST in patterning highly ordered dense nanodots, nanowaves, nanoboats, and star-elbows with sizes ranging from 34 nm to100 nm (Figs 4 and 5). Fascinatingly, the pattern edges were observed to be very sharp and devoid of blurring. This is a critical parameter for 3-D patterning particularly in the area of micro/nanoelectronics.

Figure 4
figure 4

(A) FESEM images of highly dense arrays of 60, 50, 45, 40, 36 and 34 nm dots; (B) Higher magnification (60k) images of nanodots. (EUV dose 88.19 mJ/cm2).

Figure 5
figure 5

(A) FESEM images of EUV exposed nanowaves and nanoboates; (B) Higher magnification images (magnification: 120 k) of nanowaves; (C) Higher magnification images (magnification: 120 k) of nanowaves and nanoboats. (EUV dose 88.19 mJ/cm2).

AFM Characterization

After FESEM characterization of these nanofeatures, AFM analyses were done to support our conclusion. The AFM integrated with a diamond needle (cantilever) tip was used for analyzing the features (DP15/Hi’Res-C/AIBS, MicroMasch, USA). The radius of curvature of the tip (apex-extratip) and spring (force) constant of the cantilever were 1 nm and 20 to 75 N/m (typical: 45 N/m), respectively. The resonant frequency of the used tips was between 265 and 400 kHz, typical: 325 kHz. Figure 6A,B represent the AFM images of nanodots from the surface 2.5 × 2.5 μm. The imaging was done with a slow scan speed in order to obtain good resolution and clarity. The AFM images revealed the presence of highly dense arrays of nanodots with feature size 34 nm. The three-dimensional images (Fig. 6B) demonstrate highly periodic arrays of nanodots with high aspect ratio. The variability in feature size of the patterns was established by AFM analysis (standard deviation was found to be approximately ±1). It is quite clear from the images that the patterns are fully developed up to the silicon surface. The AFM analysis also revealed that the patterned nanodots have good adhesion property with the silicon substrate. All these AFM imaging results clearly indicated that polyMAPDST can be considered as an excellent resist material for patterning dense periodic arrays of complex nanofeatures. Similarly, other features such as nanopillars and star-elbow have also been successfully patterned and fully characterized by FESEM/AFM analyses (Fig. 7).

Figure 6
figure 6

(A) AFM images of 34 nm dot features; (B) 3-D view of highly ordered nanodots. (EUV dose 88.19 mJ/cm2).

Figure 7
figure 7

(A) FESEM images of EUV exposed nanopillars; (B) 3-D view of AFM images of nanopillars; (C) FESEM images of star-elbow features; (D) 3-D view of AFM images of star-elbow features. (EUV dose 88.19 mJ/cm2).

Pattern Transfer

It has been well stated in the International Technology Roadmap for Semiconductors (ITRS)-2013 that in addition to high sensitivity and improved LER/LWR properties, one of the important criteria for new photoresists to be used for EUVL is to have high etch resistance in order to enable efficient pattern transfer using thinner films. PolyMAPDST was found to have very high etch resistance properties23, and the etch ratio with respect to the silicon substrate was found to be 7.2:1. This exceptionally high etch resistance, which is much higher than many commonly used organic photoresists44,45, encouraged us to investigate the pattern transfer into silicon substrate using ployMAPDST. To evaluate pattern transfer efficiency, polyMAPDST coated silicon substrates were subjected to e-beam lithography for generating line patterns onto silicon substrates. After developing, the patterned films were subjected to etching for different interval of time, 60 and 120 sec. Etching was done on a STSRIE tool using dry plasma etching technique, and the etch recipe involved SF6 with a flow rate of 5 sccm at a chamber pressure of 10 mTorr with an RF power of 20W. The patterned film thickness was measured before and after reactive ion etching follwed by removal of polymer film from the etched silicon surface (Table 1). These results indicated successful pattern trasfer into silicon substrate. The transferred patterns were fully characterized by FESEM and AFM measurements (Figs 8 and 9).

Table 1 Film thickness during etching followed by pattern transfer process (Bruker’s DektakXT™ Stylus Profilometer).
Figure 8
figure 8

FESEM images of transferred patterns; (A) etch time 60 sec; (B) etch time 120 sec.

Figure 9
figure 9

3-D AFM images of transferred patterns; (A) etch time 60 sec, 182 nm etch depth; (B) etch time 120 sec, 593 nm etch depth.

Conclusions

To conclude, we investigated the potential of our recently developed negative tone non-chemically amplified photoresist polyMAPDST in patterning complex nanofeatures at 34 nm node and beyond. PolyMAPDST was synthesized as a polymeric material from the base monomer MAPDST which contains radiation sensitive triflate group. The integration of triflate group into polymer matrix made polyMAPDST radiation sensitive. The triflate unit underwent photodegradation upon exposure with high energy EUV photons, and thus, resulted in polarity switching in the exposed area of polymer matrix. Therefore, properly designed smart resist structure has been found to bring in desired polarity tuning without any external chemical amplification. The developed nanopatterns were observed to be highly ordered with negligible blurring of the pattern edge which is considered as an important factor in 3-D pattering. These wide-ranging complex features were characterized by FESEM and AFM techniques. The observations in FESEM were fully supported by AFM. Finally, and as a potential application, polyMAPDST has been successfully used for transferring patterns into silicon substrate using a compatible etch technique. Given the achievements in nanopatterning with sharp images, we strongly believe that the present methodology for featuring complex patterns will attract wide audience particularly in the area of micro/nanoelectronics.

Methods

General information

The monomer 4-(methacryloyloxy)phenyl)dimethylsulfonium triflate (MAPDST) for preparing poly(MAPDST) was synthesized following our recent reported procedure21. The polymerization of MAPDST was done using azobisisobutyronitrile (AIBN) initiated free radical polymerization reaction at 60 °C under dry nitrogen atmosphere for 2 days. The chemical structures of MAPDST and poly(MAPDST) were determined using FT-IR, 1H, 13C NMR and DSC-TGA analysis. The molecular weight (Mw) was determined by Gel Permeation Chromatography (GPC), and found to be 20.7 × 103. FT-IR spectra were recorded on a Perkin Elmer Spectrum 2 spectrophotometer, and 1H/13C NMR spectra on Jeol JNM ECX 500 MHz in deuterated dimethyl sulfoxide (DMSO-d6). TGA-DSC measurements were done on NETZSCH STA 449 F1 JUPITER Series instrument with a heating rate of 10 °C/min in N2 atmosphere over temperature range from 20 °C to 500 °C. Molecular weights and polydispersity (PDI) were determined by gel permeation chromatography (GPC) analysis using PL gel MIXED B & C 10 μm columns on a Agilent Technologies 1260 Infinity Series instrument.

Resist formulation and EUV lithographic evaluation

Resist solution was prepared using 2% by weight of polymer in methanol. In order to remove larger particles, the solution was filtered through a 0.2 μm Teflon® filter prior to application on the silicon substrate. The substrates were 2″ diameter p-type Si (100) wafers purchased from Wafer world, Inc. The silicon wafers were cleaned by RCA cleaning method to remove organic contaminants, prior to spinning. Then a dehydration bake was given to the cleaned silicon wafers at 200 °C for 10 min and cooled to room temperature. Thin films were prepared by spin coating the resist solution on a HMDS treated 200 mm silicon wafers for around 40 nm thickness and a soft-bake was given at 115 °C for 90 sec using a hotplate to remove the solvent completely from the resist film. The resulted thin films of photoresist were flood exposed with the respective E0 array using SEMATECH Berkeley Microfield Exposure Tool (MET). Post-exposure bake (PEB) was given at 100 °C for 90 sec to obtain good resist mask edges using hot plate and developed using standard concentration TMAH, 0.002 N aqueous solution by maintaining pH = 11.5 at room temperature for 15 sec. Finally, the developed patterns were rinsed with DI water for 8 sec and dried by passing pure nitrogen gas over the films.

Imaging

The EUV exposed features were characterized by FESEM at energy of 5 keV. HRSEM images were taken using Nova Nano SEM 450 FEI instrument at JMI Central University and at IIT Mandi, India. In addition, the EUV features were characterized by AFM (Veeco-NanoScope IV, Multimode-AFM) providing 3D topographic images with height data. To obtain sharp and clearly defined sidewall patterns, high aspect ratio (HAR) tips were used (TESP-SS, Bruker AFM Probes, USA, tip height: 10–15 μm, tip radius: 2 nm, spring (force) constant of the cantilever 20 to 80 N∕m (typical: 42 N/m) and the resonant frequency between 230 and 410 kHz, nominal: 320 kHz). All images were acquired in a tapping mode, at UAM-I, Mexico and Indian Institute of Technology Mandi, India.

Etch and pattern transfer

E-beam exposure was done on a Raith 150 lithography system at INUP, IIT Bombay. Resist solution was prepared using 4% by weight of polyMAPDST in methanol followed by filtration through a 0.2 μm Teflon® filter prior to application. The films on silicon substrate were formed by spin coating with a spinning rate 3500 rpm for 60 sec. The film thickness was measured on a Bruker’s DektakXT™ (Santa Barbara, California) Stylus Profilometer. The films were exposed at a dose of 100 μC/cm2. The prebake and postbake were done for 90 sec at temperatures 115 °C and 100 °C respectively.

Additional Information

How to cite this article: Ghosh, S. et al. Patterning highly ordered arrays of complex nanofeatures through EUV directed polarity switching of non chemically amplified photoresist. Sci. Rep. 6, 22664; doi: 10.1038/srep22664 (2016).