Elsevier

Journal of Manufacturing Processes

Volume 60, December 2020, Pages 666-672
Journal of Manufacturing Processes

Technical Paper
GaAs manufacturing processes conditions for micro- and nanoscale devices

https://doi.org/10.1016/j.jmapro.2020.11.006Get rights and content

Abstract

High aspect-ratio etchings are a key aspect of the fabrication of III–V semiconductor devices. The increasing demand for diverse geometries with various characteristic lengths (from the micro- to the nano-meter scale) requires the constant development of new etching recipes. In this article, we demonstrate a versatile mask-plasma combination for micro- and nanofabrication of GaAs substrate using an Inductive Coupled Plasma-Reactive Ion Etching (ICP-RIE) system. We identify five recipes at 25 °C, with high selectivity, and apply them on one photoresist (AZ4562) and two hard (chromium and nickel) masks. The optimized etching plasma chemistry (BCl3/Cl2/Ar/N2) shows a pattern transfer on GaAs with a high rate (5.5 μm/min), a high anisotropy, a high selectivity (>4:1 with photoresist mask, and >50:1 with hard masks), a good etch surface morphology, and smooth sidewalls profile (>88°). Herein, we detail the requirements definition, the engineering processes with detailed recipes, the verification, and validation of three device geometries (ridges, cylinders, and nanopillars). The presented results can be valuable for a wide range of applications from the microscale to the nanoscale, and are compatible with a manufacturing process using only a single commercial ICP-RIE tool with two chambers dedicated, respectively, for metallic masks and photoresist mask.

Introduction

Micro- and nanotechnologies using ICP-RIE technology are widely used in both manufacturing and research laboratories [1], [2], [3], [4]. III–V semiconductors suit high performance applications beyond optoelectronics due to the higher electron mobilities and peak velocities compared to silicon based devices [5], [6], [7]. However, the different processing techniques on III–V materials are still challenging and needs further developments. For instance, there is a growing interest for the advancement of reliable plasma etching technics on III–V materials for electronic and photonic applications. ICP-RIE etching technology enables plasma etching to operate at lower pressures (1–30 mTorr) with anistropic features and etch rates comparable to or higher than conventional reactive-ion etching (RIE) [8], [9], [10], [11], [12]. There have been numerous reports on the etching of silicon [12], [13], [14] and semiconductor devices based on GaAs/AlGaAs, which are widely used for the fabrication of microsystems [15], [16], electronic and photonic devices [17], [18], [19]. These various applications require high etching rates, anisotropic etching profiles, smooth sidewalls and good surface morphology in the microscale as well as in the nanoscale. However, the critical manufacturing step to obtain nearly perfect anisotropic profiles, with respect to the various devices, is sensitive to the choice of both plasma etching chemistry and masking material. Therefore, the latter needs to be finely selected and tuned.

Conventional III–V compounds etch masks consist of hard masks based on metals [20], dielectric layers (silicon oxide or silicon nitride) [21] and thick photoresists [22], [23]. Some mask materials adapted to chlorine chemistry processes already exist but little is known about the reliability of these materials used for pattern transfer on III–V compounds, particularly concerning the defects encountered during the micro- and nano-fabrication. Since the mask interacts with the plasma, it is crucial to understand each mask behavior to obtain a high fidelity pattern transfer on the III–V compounds over the entire etching period. Moreover, information on the etching rate and selectivity for each mask enables a better and reliable manufacturing process. Several investigations on etchants targeting specific materials have been made but would only report on the etching rates on III-V compounds, and would rarely discuss the selectivity of the mask transfer from microscale towards nanoscale (viz. GaAs vs mask transfer layer). At the micrometer scale, the degree of anisotropy can be improved by adding inert gases such as argon (Ar) with a mixture of Cl2, BCl3 gases on GaAs/AlGaAs substrate [24], [25]. In contrast, at the nanometer scale, the choice of an ideally balanced BCl3/Cl2/Ar chemistry is difficult to obtain due to under etching [26]. To protect the sidewalls, N2 gas is added into BCl3/Cl2/Ar plasma. The presence of N2 also improves the selectivity, cleans the surfaces, and maintains a high anisotropy for different scale ranges [27], [28], [29], [30], [31].We have previously demonstrated the feasibility of the chlorine-based GaAs/AlGaAs etch plasma chemistries at the microscale [32] and we have improved the etch rate, the selectivity, the quality of sidewall surfaces and the verticality of the ridge structure commonly used for quantum cascade lasers [18]. Nevertheless, the lack of knowledge in how to apply and integrate engineering processes from microscale towards nanoscale technologies is an obstacle to the selection of specific plasmas in dry etching systems. Hence, we develop in this article manufacturing engineering methodologies that integrate the chlorinated plasma chemistry to obtain with high reliable microdevices and nanodevices of predetermined shapes.

We demonstrate that the desired etching characteristics on GaAs, meaning vertical sidewalls, very low or insignificant roughness on the surface sidewalls, can be obtained in ICP-RIE with a BCl3/Cl2/Ar/N2 plasma chemistry and using a photoresist mask or a selected hard mask. We present here the different mask transfer methods and etching recipes which allow to obtain straight and smooth sidewalls at the nanoscale supported by GaAs etching profiles analysis.

Section snippets

Experimental section

The experiments were performed in a Surface Technology Systems (STS) reactor, equipped with a maximum available power of 900 W, 13.56 MHz RF coil generator. The gases employed in this study were BCl3, Cl2, Ar, N2 and O2. During all the experiments, the temperature of the electrode was fixed at 25°C. To avoid contamination of the ICP reactor using exclusively chlorine chemistries, two chambers are dedicated separately for metallic masks and photoresist mask. A 5-min-long oxygen cleaning

Microscale

There are numerous important parameters which affect the etching process such as etching rate, masking materials, selectivity and surface roughness. Kovacs et al. [33] have provided with a general comparison between different etchants properties regarding the aforementioned important etching characteristics required. They also discussed about the suitable masking materials for such etching processes. In our work, micro- and nanometer-sized patterns were fabricated using a top-down approach with

Discussion

In this ICP- DRIE study, we have investigated both photoresist masks and metal masks during the etching processes: microfabrication was optimized to achieve straight, smooth sidewalls and a deeper depth, while the nanofabrication etching was improved to obtain the desired nanopillar shape. There are many parameters in the processing such as pressure, coil power/platen power and gas mixture, and we find that:

  • Various demands on mask selectivity, etching rate and profile can be met in the

Conclusion

This work reports on an optimized ICP etching process at the micrometer and nanometer scale of GaAs substrate through our optimized BCl3/Cl2/Ar/N2 plasma chemistries conditions. For microdevices manufacturing where straight and smooth sidewalls are requested, the photoresist masks or Cr-masks have better results than Ni-mask. We highlighted the selection of the hard mask properties towards the nanoscale, and some interesting effects may arise. In particular, for the manufacturing of nano-scale

Conflict of interest

None declared.

Declaration of Competing Interest

The authors report no declarations of interest.

Acknowledgment

The authors acknowledge the clean room and characterization laboratory staff. This work was partly supported by the French RENATECH network with technological facilities. They also would like to thank David Bouville and Samson Edmond for fruitful discussions.

References (48)

  • P.B. Vigneron et al.

    Advanced and reliable GaAs/AlGaAs ICP- DRIE etching for optoelectronic, microelectronic and microsystem applications

    Microelectron Eng

    (2018)
  • R. Kapadia et al.

    Nanopillar photovoltaics: Materials, processes, and devices

    Nano Energy

    (2012)
  • G. Guisbiers et al.

    Materials selection procedure for RF-MEMS

    Microelectron Eng

    (2010)
  • S. Yasin et al.

    Comparison of MIBK/IPA and water/IPA as PMMA developers for electron beam nanolithography

    Microelectron Eng

    (2002)
  • H. Yang et al.

    Electron beam lithography of HSQ/PMMA bilayer resists for negative tone lift-off process

    Microelectron Eng

    (2008)
  • A. Elbaz et al.

    Ultra-low-threshold continuous-wave and pulsed lasing in tensile-strained GeSn alloys

    Nat Photon

    (2020)
  • E. Herth et al.

    Modeling and characterization of piezoelectric beams based on an aluminum nitride thin-film layer

    Phys Stat Sol A

    (2015)
  • A. Elbaz et al.

    Reduced lasing thresholds in gesn microdisk cavities with defect management of the optically active region

    ACS Photon

    (2020)
  • D. Bajoni et al.

    Polariton laser using single micropillar GaAs-GaAlAs semiconductor cavities

    Phys Rev Lett

    (2008)
  • Y. Chassagneux et al.

    Terahertz microcavity lasers with subwavelength mode volumes and thresholds in the milliampere range

    Appl Phys Lett

    (2007)
  • M. Tchernycheva et al.

    Temperature conditions for GaAs nanowire formation by Au-assisted molecular beam epitaxy

    Nanotechnology

    (2006)
  • A. Larrue et al.

    Inductively coupled plasma etching of high aspect ratio two-dimensional photonic crystals in Al-rich AlGaAs and AlGaAsSb

    J Vac Sci Technol B

    (2011)
  • E. Herth et al.

    Fast ultra-deep silicon cavities: toward isotropically etched spherical silicon molds using an ICP-DRIE

    J Vac Sci Technol B

    (2019)
  • E. Herth et al.

    Modeling and detecting response of micromachining square and circular membranes transducers based on AlN thin film piezoelectric layer

    Microsyst Technol

    (2015)
  • Cited by (9)

    • Ion incidence angle dependent pattern formation at AZ 4562® photo resist by Ar<sup>+</sup> ion beam erosion

      2022, Applied Surface Science
      Citation Excerpt :

      Studies on the formation of structures at larger angles of incidence, as is known for many inorganic materials, have not yet been carried out. Based on these results the present study investigates the incidence angle-dependent influence of Ar+ ion beams on the resulting nanopatterns and chemical surface composition of the commercially available photoresist AZ® 4562, which was chosen because it is a commonly used resist in ion beam etching as well as plasma etching processes [27,28]. In addition, at selected ion beam incidence angles the time-dependent evolution of nanopatterns was investigated.

    • Optimization of inductively coupled plasma etching for distributed Bragg reflectors in vertical cavity surface emitting lasers

      2021, Vacuum
      Citation Excerpt :

      F. Joint reported an optimized ICP etching process at the micrometer and nanometer scale of GaAs substrate through optimized BCl3/Cl2/Ar/N2 plasma chemistries conditions. The selection of the hard mask properties towards the nanoscale was highlighted [20]. In these publications, most of them are based on the etching of one or two layer materials.

    • Laser irradiation effects in FeRh thin film

      2023, Materials Research Express
    • ICPECVD-Dielectric Thin-Films CMOS-Compatible: Trends in Eco-Friendly Deposition

      2022, International Journal of Precision Engineering and Manufacturing - Green Technology
    View all citing articles on Scopus
    View full text