Abstract
One of the most notable features of nanometer scale CMOS technology is the increasing magnitude of variability of the key parameters affecting performance of integrated circuits [1]. Although scaling made controlling extrinsic variability more complex, nonetheless, the most profound reason for the future increase in parameter variability is that the technology is approaching the regime of fundamental randomness in the behavior of silicon structures where device operation must be described as a stochastic process. Electric noise due to the trapping and de-trapping of electrons in lattice defects may result in large current fluctuations, and those may be different for each device within a circuit.
Access this chapter
Tax calculation will be finalised at checkout
Purchases are for personal use only
References
K. Bowman, J. Meindl, Impact of within-die parameter fluctuations on the future maximum clock frequency distribution. Proceedings of IEEE Custom Integrated Circuits Conference, pp. 229–232 (2001)
T. Mizuno, J. Okamura, A. Toriumi, Experimental study of threshold voltage fluctuation due to statistical variation of channel dopant number in MOSFET’s. IEEE Trans. Electron Devices 41, 2216–2221 (1994)
A. Asenov, S. Kaya, J.H. Davies, Intrinsic threshold voltage fluctuations in MOSFETs due to local oxide thickness variations. IEEE Trans. Electron Devices 49(1), 112–119 (2002)
J.A. Croon, G. Storms, S. Winkelmeier, I. Pollentier, Line-edge roughness: characterization, modeling, and impact on device behavior. Proceedings of IEEE International Electronic Devices Meeting, pp. 307–310 (2002)
A. Asenov, G. Slavcheva, A.R. Brown, J. Davies, S. Saini, Increase in the random dopant induced threshold fluctuations and lowering in sub-100 nm MOSFETs due to quantum effects: a 3-D density-gradient simulation study. IEEE Trans. Electron Devices 48(4), 722–729 (2001)
J. Kwong, A. Chandrakasan, Variation driven device sizing for minimum energy subthreshold circuits. IEEE International Symposium on Low-Power Electronic Design, pp. 8–13 (2006)
M. Horowitz, E. Alon, D. Patil, S. Naffziger, R. Kumar, K. Bernstein, Scaling, power, and the future of CMOS. IEEE International Electronic Devices Meeting, pp. 7–15 (2005)
D. Markovic et al., Ultralow-power design in near-threshold region. Proc. IEEE 98(2), 237–252 (2010)
K. Itoh, Adaptive circuits for the 0.5-V nanoscale CMOS era. Digest of Techical Papers IEEE International Solid-State Circuits Conference, pp. 14–20. (2009)
M. Grigoriu, On the spectral representation method in simulation. Probab. Eng. Mech. 8, 75–90 (1993)
M. Loève, Probability Theory (D. Van Nostrand Company Inc., Princeton, 1960)
R. Ghanem, P.D. Spanos, Stochastic Finite Element: A Spectral Approach (Springer, New York, 1991)
P. Friedberg, Y. Cao, J. Cain, R. Wang, J. Rabaey, C. Spanos, Modeling within-die spatial correlation effects for process-design co-optimization. IEEE International Symposium on Quality of Electronic Design, pp. 516–521 (2005)
J. Xiong, V. Zolotov, L. He, Robust extraction of spatial correlation. Proceedings of IEEE International Symposium on Physical Design, pp. 2–9 (2006)
M. Pelgrom, A. Duinmaijer, A. Welbers, Matching properties of MOS transistors. IEEE J. Solid-State Circuits 24(5), 1433–1439 (1989)
C. Michael, M. Ismail, Statistical Modeling for Computer-Aided Design of MOS VLSI Circuits (Kluwer, Boston, 1993)
H. Zhang, Y. Zhao, A. Doboli, ALAMO: an improved σ-space based methodology for modeling process parameter variations in analog circuits. Proceedings of IEEE Design, Automation and Test in Europe Conference, pp. 156–161 (2006)
R. López-Ahumada, R. RodrÃguez-MacÃas, FASTEST: a tool for a complete and efficient statistical evaluation of analog circuits, dc analysis. Analog Integr. Circ. Sig. Process. 29(3), 201–212 (2001)(Kluwer Academic Publishers)
G. Biagetti, S. Orcioni, C. Turchetti, P. Crippa, M. Alessandrini, SiSMA-a statistical simulator for mismatch analysis of MOS ICs. Proceedings of IEEE/ACM International Conference on Computer Aided Design, pp. 490–496 (2002)
B. De Smedt, G. Gielen, WATSON: design space boundary exploration and model generation for analogue and RF IC design. IEEE Trans. CAD Integr. Circuits Syst. 22(2), 213–224 (2003)
B. Linares-Barranco, T. Serrano-Gotarredona, On an efficient CAD implementation of the distance term in Pelgrom’s mismatch model. IEEE Trans. CAD Integr. Circuits Syst. 26(8), 1534–1538 (2007)
J. Kim, J. Ren, M.A. Horowitz, Stochastic steady-state and ac analyses of mixed-signal systems. Proceedings of IEEE Design Automation Conference, pp. 376–381 (2009)
A. Zjajo, J. Pineda de Gyvez, Analog automatic test pattern generation for quasi-static structural test. IEEE Trans. VLSI Syst. 17(10), 1383–1391 (2009)
N. Mi, J. Fan, S.X.-D. Tan, Y. Cai, X. Hong, Statistical analysis of on-chip power delivery networks considering lognormal leakage current variations with spatial correlation. IEEE Trans. Circuits Syst. I Regul. Pap. 55(7), 2064–2075 (2008)
E. Felt, S. Zanella, C. Guardiani, A. Sangiovanni-Vincentelli, Hierarchical statistical characterization of mixed-signal circuits using behavioral modeling. Proceedings of IEEE Inernational Conference on Computer Aided Design, pp. 374–380 (1996)
J. Vlach, K. Singhal, Computer Methods for Circuit Analysis and Design (Van Nostrand Reinhold, New York, 1983)
L.O. Chua, C.A. Desoer, E.S. Kuh, Linear and Nonlinear Circuits (Mc Graw-Hill, New York, 1987)
L. Arnold, Stochastic Differential Equations: Theory and Application (Wiley, New York, 1974)
S. Bhardwaj, S. Vrudhula, A. Goel, A unified approach for full chip statistical timing and leakage analysis of nanoscale circuits considering intradie process variations. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 27(10), 1812–1825 (2008)
J.F. Croix, D.F. Wong, A fast and accurate technique to optimize characterization tables for logic sythesis. Proceedings of IEEE Design Automation Conference, pp. 337–340 (1997)
A. Goel, S. Vrudhula, Statistical waveform and current source based standard cell models for accurate timing analysis. Proceedings of IEEE Design Automation Conference, pp. 227–230 (2008)
H. Fatemi, S. Nazarian, M. Pedram, Statistical logic cell delay analysis using a current-based model. Proceedings of IEEE Design Automation Conference, pp. 253–256 (2006)
B. Liu, A.B. Kahng, Statistical gate level simulation via voltage controlled current source models. Proceedings of IEEE International Workshop on Behavioral Modeling and Simulation, p. 23–27 (2006)
B. Liu, Gate level statistical simulation based on parameterized models for process and signal variations. Proceedings of IEEE International Symposium on Quality Electronic Design, pp. 257–262 (2007)
J.F. Croix, D.F. Wong, Blade and Razor: cell and interconnet delay analysis using current-based models. Proceedings of IEEE Design Automation Conference, pp. 386–389 (2003)
C. Amin, C. Kashyap, N. Menezes, K. Killpack, E. Chiprout, A multi-port current source model for multiple-input switching effects in CMOS library cells. Proceedings of IEEE Design Automation Conference, pp. 247–252 (2006)
C. Kashyap, C. Amin, N. Menezes, E. Chiprout, A nonlinear cell macromodel for digital applications. Proceedings of IEEE International Conference on Computer Aided Design, pp. 678–685 (2007)
N. Menezes, C. Kashyap, C. Amin, A true electrical cell model for timing, noise, and power grid verification. Proceedings of IEEE Design Automation Conference, pp. 462–467 (2008)
B. Amelifard, S. Hatami, H. Fatemi, M. Pedram, A current source model for CMOS logic cells considering multiple input switching and stack effect. Proceedings of IEEE Design, Automation and Test in Europe Conference, pp. 568–574 (2008)
A. Devgan, Accurate device modeling techniques for efficient timing simulation of integrated circuits. Proceedings of IEEE International Conference on Computer Design, pp. 138–143 (1995)
F. Dartu, Gate and transistor level waveform calculation for timing analysis. Ph.D. Dissertation, Carnegie Mellon University, 1997
P. Kulshreshtha, R. Palermo, M. Mortazavi, C. Bamji, H. Yalcin, Transistor-level timing analysis using embedded simulation. Proceedings of IEEE International Conference on Computer Aided Design, pp. 344–349 (2000)
P.F. Tehrani, S.W. Chyou, U. Ekambaram, Deep sub-micron static timing analysis in presence of crosstalk. Proceedings of IEEE International Symposium on Quality Electronic Design, pp. 505–512 (2000)
E. Acar, Linear-centric simulation approach for timing analysis. Ph.D. dissertation, Carnegie Mellon University, 2001
E. Acar, F. Dartu, L. Pileggi, TETA: transistor-level waveform evaluation for timing analysis. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 21(5), 605–616 (2002)
L. McMurchie, C. Sechen, WTA-waveform-based timing analysis for deep-micro circuits. Proceedings of IEEE International Conference on Computer Aided Design, pp. 625–631 (2002)
Z. Wang, J. Zhu, Transistor-level static timing analysis by piecewise quadratic waveform matching. Proceedings of IEEE Design, Automation and Test in Europe Conference, pp. 312–317 (2003)
S. Raja, Varadi, M. Becer, J. Geada, Transistor level gate modeling for accurate and fast timing, noise, and power analysis. Proceedings of IEEE Design Automation Conference, pp. 456–461 (2008)
Q. Tang, A. Zjajo, M. Berkelaar, N. van der Meijs, Transistor level waveform evaluation for timing analysis. in Proceedings of European Workshop on CMOS Variability, pp. 1–6 (2010)
J.F. Epperson, An Introduction to Numerical Methods and Analysis (John Wiley & Sons, Inc, New York, 2002)
T. Shima, H. Yamada, R.L.M. Dang, Table look-up mosfet modeling system using a 2-d device simulator and monotonic piecewise cubic interpolation. IEEE Trans. Comput. Aided Des. 2(2), 121–126 (1983)
P.E. Allen, K.S. Yoon, A table look-up model for analog applications. International Conference on Computer-Aided Design, pp. 124–127 (1988)
Pathmill: Transistor-level static timing analysis, [online], available at: http://www.synopysys.com/products/analysis/pathmillds.pdf
Q. Tang, A. Zjajo, M. Berkelaar, N. van der Meijs, A simplified transistor model for cmos timing analysis. Proceedings of Workshop on circuits, systems and signal processing, pp. 289–294 (2009)
M. Chen, W. Zhao, F. Liu, Y. Cao, Fast statistical circuit analysis with finite-point based transistor model. Proceedings of IEEE Design, Automation and Test in Europe Conference, pp. 1–6 (2007)
A. Hyvarinen, E. Oja, Independent component analysis: algorithms and applications. Neural Networks J. 13(4/5), 411–430 (2000)
R. Manduchi, J. Portilla, Independent component analysis of textures. Proc. IEEE Int. Conf. Comput. Vis. 2, 1054–1060 (1999)
Z. Feng, P. Li, Y. Zhan, Fast second-order statistical static timing analysis using parameter dimension reduction. Proceedings of IEEE Design Automation Conference, pp. 244–249 (2007)
C. Visweswariah et al., First-order incremental block-based statistical timing analysis. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 25(10), 2170–2180 (2006)
T.T. Soong, Random Diffrential Equations in Science and Engineering (Academic Press, New York, 1973)
Q. Tang, A. Zjajo, M. Berkelaar, N. P. van der Meijs, RDE-based transistor-level gate simulation for statistical static timing analysis. Proceedings of IEEE Design Automation Conference, pp. 787–792 (2010)
Q. Tang, A. Zjajo, M. Berkelaar, N.P. van der Meijs, Statistical delay calculation with multiple input simultaneous switching. Proceedings of IEEE International Conference on IC Design and Technology, pp. 1–4 (2011)
L.T. Pillage, R.A. Rohrer, Asymptotic waveform evaluation for timing analysis. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 4, 352–366 (1990)
P. Feldmann, R.W. Freund, Efficient linear circuit analysis by Pade approximation via the Lanczos process. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 14, 639–649 (1995)
A. Odabasioglu, M. Celik, L. Pileggi, PRIMA: Passive reduced-order interconnect macromodeling algorithm. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, pp. 645–654 (1998)
P. Elias, N. van der Meijs, Including higher-order moments of RC interconnections in layout-to-circuit extraction. Proceedings of IEEE Design, Automation and Test in Europe Conference, pp. 362–366 (1996)
B.C. Moore, Principal component analysis in linear systems: controllability, observability, and model reduction. IEEE Trans. Autom. Control 26, 17–31 (1981)
J. Li, J. White, Efficient model reduction of interconnect via approximate system Grammians. Proceedings of IEEE International Conference on Computer Aided Design, pp. 380–384 (1999)
J.R. Phillips, L. Daniel, L.M. Silveira, Guaranteed passive balancing transformations for model order reduction. Proceedings of IEEE Design Automation Conference, pp. 52–57 (2002)
J.R. Phillips, L.M. Silveira, Poor man’s TBR: a simple model reduction scheme. Proceedings of IEEE Design, Automation and Test in Europe Conference, pp. 938–943 (2004)
W.F. Arnold, A.J. Laub, Generalized eigenproblem algorithms and software for algebraic Riccati equation. Proc. IEEE 72, 1746–1754 (1984)
T. Penzl, A cyclic low-rank Smith method for large sparse Lyapunov equations. SIAM J. Sci. Comput. 21, 1401–1418 (2000)
M.G. Safonov, R.Y. Chiang, A Schur method for balanced-truncation model reduction. IEEE Trans. Autom. Control 34, 729–733 (1989)
K.V. Fernando, H. Nicholson, Singular perturbational model reduction of balanced systems. IEEE Trans. Autom. Control 27, 466–468 (1982)
D. Enns, Model reduction with balanced realizations: an error bound and a frequency weighted generalization. Proceedings of IEEE Conference on Decision and Control, pp. 127–132 (1984)
M.S. Tombs, I. Postlethwaite, Truncated balanced realization of stable, non-minimal state-space systems. Int. J. Control 46, 1319–1330 (1987)
G. Golub, C. van Loan, Matrix Computations (Johns Hopkins University Press, Baltimore MD, 1996)
J. Singh, V. Nookala, Z. Luo, S. Sapatnekar, Robust gate sizing by geometric programming. Proceedings of IEEE Design Automation Conference, pp. 315–320 (2005)
D. Nguyen et al., Minimization of dynamic and static power through joint assignment of threshold voltages and sizing optimization. Proceedings of IEEE International Symposium on Low Power Electronic Design, pp. 158–163 (2003)
R. Brodersen et al., Methods for true power minimization. Proceedings of IEEE International Conference on Computer-Aided Design, pp. 35–42 (2002)
K. Nose, T. Sakurai, Optimization of VDD and VTH for low power and high-speed applications. Proceedings of IEEE Design Automation Conference, pp. 469–474 (2000)
A. Bhavnagarwala, B. Austin, K. Bowman, J.D. Meindl, A minimum total power methodology for projecting limits on CMOS GSI. IEEE Trans. VLSI Syst. 8(6), 235–251 (2000)
M. Mani, A. Devgan, M. Orshansky, An efficient algorithm for statistical minimization of total power under timing yield constraints. Proceedings of IEEE Design Automation Conference, pp. 309–314 (2005)
A. Srivastava, K. Chopra, S. Shah, D. Sylvester, D. Blaauw, A novel approach to perform gate-level yield analysis and optimization considering correlated variations in power and performance. IEEE Trans. Comput. Aided Des. 27(2), 272–285 (2008)
C. Gu, J. Roychowdhury, An efficient, fully nonlinear, variability-aware non-Monte-Carlo yield estimation procedure with applications to SRAM cells and ring oscillators. Proceedings of IEEE Asia-South Pacific Design Automation Conference, pp. 754–761 (2008)
M. Meijer, J. Pineda de Gyvez, Body bias driven design synthesis for optimum performance per area. Proceedings of IEEE International Symposium on Quality Electronic Design, pp. 472–477 (2010)
A. Zjajo, Q. Tang, M. Berkelaar, J. Pineda de Gyvez, A. Di Bucchianico, N. van der Meijs, Stochastic analysis of deep-submicrometer CMOS process for reliable circuits designs. IEEE Trans. Circuits Syst. I Regul. Pap. 58(1), 164–175 (2011)
Y. Freund, R.E. Schapire, Large margin classification using the perceptron algorithm. Mach. Learn. 37, 277–296 (1999)
I. Tsochantaridis, T. Hofmann, T. Joachims, Y. Altun, Support vector machine learning for interdependent and structured output spaces. Proceedings of of International Conference on Machine Learning, pp. 1–8 (2004)
J.C. Platt, Fast training of support vector machines using sequential minimal optimization, in Advances in Kernel Methods: Support Vector Learning, ed. by B. Scholkopf, C.J.C. Burges, A.J. Smola (MIT Press, Cambridge, 1998) pp. 195–208
B. Taskar, Learning structured prediction models: a large margin approach, PhD thesis, Stanford University, 2004
V. Franc, V. Hlavac, Multi-class support vector machine. Proc. IEEE Int. Conf. Pattern Recognit. 2, 236–239 (2002)
MatLab, http://www.mathworks.com/
A. Zjajo, M. Song, Digitally programmable continuous-time biquad filter in 65-nm CMOS. Proceedings of IEEE International Symposium on Radio-Frequency Integration Technology, pp. 339–342 (2009)
Nangate 45 nm open cell library (2009), http://www.nangate.com/ index.php?option = comcontent&task = view&id = 137&Itemid = 137
X. Lu, W.P. Shi., Layout and parasitic information for ISCAS circuits (2004), http://dropzone.tamu.edu/xiang/iscas.html
X. Zheng, Implementing and evaluating a simplified transistor model for timing analysis of integrated circuits, Master’s thesis, Delft University of Technology, 2012
J. Rodriguez, Q. Tang, A. Zjajo, M. Berkelaar, N. van der Meijs, Direct statistical simulation of timing properties in sequential circuits. Proceedings of International Workshop on Power and Timing Modeling, Optimization ans Simulation, pp. 131–141 (2012)
MiBench, http://www.eecs.umich.edu/mibench/
SimpleScalar, http://www.simplescalar.com/
HSPICE Simulation and Analysis User Guide, Version W-2005.03, Synopsys, Mountain View, CA, 2005
P.M. Kogge, H.S. Stone, A parallel algorithm for the efficient solution of general class of recurrence equations. IEEE Trans. Comput. C-22(8), 786–793 (1973)
K. Bernstein et al., High-performance CMOS variability in the 65 nm regime and beyond. IBM J. Res. Dev. 50(4/5), 433–449 (2006)
A. Zjajo, M.J. Barragan, J. Pineda de Gyvez, Low-power die-level process variation and temperature monitors for yield analysis and optimization in deep-submicron CMOS. IEEE Trans. Instrum. Meas. 61(8), 2212–2221 (2012)
Author information
Authors and Affiliations
Corresponding author
Rights and permissions
Copyright information
© 2014 Springer Science+Business Media Dordrecht
About this chapter
Cite this chapter
Zjajo, A. (2014). Random Process Variation in Deep-Submicron CMOS . In: Stochastic Process Variation in Deep-Submicron CMOS. Springer Series in Advanced Microelectronics, vol 48. Springer, Dordrecht. https://doi.org/10.1007/978-94-007-7781-1_2
Download citation
DOI: https://doi.org/10.1007/978-94-007-7781-1_2
Published:
Publisher Name: Springer, Dordrecht
Print ISBN: 978-94-007-7780-4
Online ISBN: 978-94-007-7781-1
eBook Packages: EngineeringEngineering (R0)