Skip to main content

Thermal-Aware 3D Floorplan

  • Chapter
  • First Online:
Three Dimensional Integrated Circuit Design

Part of the book series: Integrated Circuits and Systems ((ICIR))

Abstract

Three-dimensional integration makes floorplanning a much more difficult problem because the multiple device layers dramatically enlarge the solution space and the increased power density accentuates the thermal problem. This chapter introduces the algorithms for 3D floorplanning with both 2D blocks and 3D blocks. In addition to stochastic optimizations based on various representations that are briefly introduced, the analytical approach is also introduced. The effects of various 3D floorplanning techniques on wirelength, area, and temperature are demonstrated by experimental results.

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

Chapter
USD 29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD 129.00
Price excludes VAT (USA)
  • Available as EPUB and PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 169.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info
Hardcover Book
USD 169.99
Price excludes VAT (USA)
  • Durable hardcover edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

Similar content being viewed by others

References

  1. Y. C. Chang, Y. W. Chang, G. M. Wu, and S. W. Wu, B*-trees: An new representation for nonslicing floorplans, Proceedings of ACM/IEEE DAC 2000, pp. 458–463, 2000.

    Google Scholar 

  2. L. Cheng, L. Deng, and M. D. Wong, Floorplanning for 3D VLSI design, Proceedings of IEEE/ACM ASP-DAC 2005, pp. 405–411, 2005.

    Google Scholar 

  3. J. Cong, J. Wei, and Y. Zhang, A thermal-driven floorplanning algorithm for 3D ICs, Proceedings of ICCAD 2004, pp. 306–313, 2004.

    Google Scholar 

  4. J. Cong and G. Luo, A multilevel analytical placement for 3D ICs, Proceedings of the 14th ASP-DAC, Yokohama, Japan, pp. 361–366, January 2009.

    Google Scholar 

  5. B. Goplen and S. Sapatnekar, Efficient thermal placement of standard cells in 3D ICs using a force directed approach, Proceedings of ICCAD 2003, pp. 86–89, Nov. 2003.

    Google Scholar 

  6. P.-N. Guo, C.-K. Cheng, and T. Yoshimura, An O-tree representation of nonslicing floorplan and its application, Proceedings of ACM/IEEE DAC 1999, pp. 268–273, 1999.

    Google Scholar 

  7. X. Hong, G. Huang, Y. Cai, J. Gu, S. Dong, C. K. Cheng, and J. Gu, Corner block list: An effective and efficient topological representation of nonslicing floorplan, Proceedings of IEEE/ACM ICCAD 2000, pp. 8–12, 2000.

    Google Scholar 

  8. S. Kirkpatrick, C. D. Gelatt, and M. P. Vecchi Jr, Optimization by simulated annealing, Science, pp. 671–680, May 1983.

    Google Scholar 

  9. M. B. Kleiner, S. A. Kuhn, P. Ramm, and W. Weber, Performance and improvement of the memory hierarchy of risc-systems by application of 3-D technology, IEEE Transactions on Components, Packaging, and Manufacturing Technology, 19(4): 709–718, 1996

    Article  Google Scholar 

  10. Z. Li, X. Hong, Q. Zhou, Y. Cai, J. Bian, H. Yang, P. Saxena, and V. Pitchumani, A divide-and-conquer 2.5-D floorplanning algorithm based on statistical wirelength estimation, Proceedings of ISCAS 2005, pp. 6230–6233, 2005.

    Google Scholar 

  11. Z. Li, X. Hong, Q. Zhou, Y. Cai, J. Bian, H. H. Yang, V. Pitchumani, and C.-K. Cheng, Hierarchical 3-D floorplanning algorithm for wirelength optimization, IEEE Transaction on Circuits and Systems I, 53(12): 2637–2646, 2007.

    Article  MathSciNet  Google Scholar 

  12. Y. Liu, Y. Ma, E. Kursun, J. Cong, and G. Reinman, Fine grain 3D integration for microarchitecture design through cube packing exploration, Proceedings of IEEE ICCD 2007, pp. 259–266, Oct 2007.

    Google Scholar 

  13. J. M. Lin and Y. W. Chang, TCG: A transitive closure graph-based representation for non-slicing floorplans, Proceedings of ACM/IEEE DAC 2001, pp. 764–769, 2001.

    Google Scholar 

  14. J. M. Lin and Y. W. Chang, TCG-S: Orthogonal coupling of P*-admissible representations for general floorplans, Proceedings of ACM/IEEE DAC 2002, pp. 842–847, 2002.

    Google Scholar 

  15. Y. Ma, X. Hong, S. Dong, Y. Cai, C. K. Cheng, and J. Gu, Floorplanning with abutment constraints and L-shaped/T-shaped blocks based on corner block list, Proceedings of DAC 2001, pp. 770–775, 2001.

    Google Scholar 

  16. Y. Ma, X. Hong, S. Dong and C. K.Cheng, 3D CBL: an efficient algorithm for general 3-dimensional packing problems, Proceedings of the 48th MWS-CAS 2005, 2, pp. 1079–1082, 2005.

    Google Scholar 

  17. F. K. Miyazawa and Y. Wakabayashi, An algorithm for the three-dimensional packing problem with asymptotic performance analysis, Algorithmica, 18(1): 122–144, May 1997.

    Article  MATH  MathSciNet  Google Scholar 

  18. H. Murata, K. Fujiyoshi, S. Nakatake, and Y. Kajitani, Rectangle packing based module placement, Proceedings of IEEE ICCAD 1995, pp. 472–479, 1995.

    Google Scholar 

  19. S. Nakatake, K. Fujiyoshi, H. Murata, and Y. Kajitani, Module placement on BSG-structure and IC laylot applications, Proceedings of IEEE/ACM ICCAD 1999, pp. 484–491, 1999.

    Google Scholar 

  20. T. Ohtsuki, N. Suzigama, and H. Hawanishi, An optimization technique for integrated circuit layout design, Proceedings of ICCST 1970, pp. 67–68, 1970.

    Google Scholar 

  21. B. Obermeier and F. Johannes, Temperature aware global placement, Proceedings of ASPDAC 2004, pp. 143–148, 2004.

    Google Scholar 

  22. R. H. J. M. Otten, Automatic floorplan design, Proceedings of ACM/IEEE DAC 1982, pp. 261–267, 1982.

    Google Scholar 

  23. R. H. J. M Otten, Efficient floorplan optimization, Proceedings of IEEE ICCD 1983, pp. 499–502, 1983.

    Google Scholar 

  24. S. Palacharla, N. P. Jouppi, and J. E. Smith, Complexity-effective superscalar processors, Proceedings of the 24th ISCA, pp. 206–218, June 1997.

    Google Scholar 

  25. K. Puttaswamy and G. Loh, The impact of 3-dimensional integration on the design of arithmetic units, Proceedings of ISCAS 2006, pp. 4951–4954, May, 2006.

    Google Scholar 

  26. K. Puttaswamy and G. Loh, Dynamic instruction schedulers in a 3-dimensional integration technology, Proceedings of ACM/IEEE GLS-VLSI 2006, pp. 153–158, May 1, 2006, USA.

    Google Scholar 

  27. G. Reinman and N. Jouppi, Cacti 2.0: An integrated cache timing and power model, In Technical Report, 2000.

    Google Scholar 

  28. R. Ronnen, A. Mendelson, K. Lai, S. Liu, F. Pollack, and J. Shen, Coming challenges in microarchitecture and architecture, Proceedings of the IEEE, 89(3): 325–340, 2001.

    Article  Google Scholar 

  29. Z. C. Shen and C. C. N. Chu, Bounds on the number of slicing, mosaic, and general floorplans, IEEE Transaction on CAD, 22(10): 1354–1361, 2003.

    Google Scholar 

  30. Y. Tsai, Y. Xie, N. Vijaykrishnan, and M. Irwin, Three-dimensional cache design exploration using 3D CACTI, Proceedings of ICCD 2005, pp. 519–524, October 2005.

    Google Scholar 

  31. M. Tremblay, B. Joy, and K. Shin, A three dimensional register file for superscalar processors, Proceedings of the 28th HICSS, pp. 191–201, 1995.

    Google Scholar 

  32. X. Tang, R. Tian and D. F. Wong, Fast evaluation of Sequence Pair in block placement by longest common subsequence computation, Proceedings of DATE 2000, pp. 106–111, 2000.

    Google Scholar 

  33. X. Tang and D. F.Wong, FAST-SP: A fast algorithm for block placement based on Sequence Pair, Proceedings of ASPDAC 2001, pp. 521–526, 2001.

    Google Scholar 

  34. D. F. Wong and C. L. Liu, A new algorithm for floorplan design, Proceedings of the 3rd ACM/IEEE DAC, pp. 101–107,1986.

    Google Scholar 

  35. H. Yamazaki, K. Sakanushi, S. Nakatake, and Y. Kajitani, The 3D-packing by meta data structure and packing heuristics, IEICE Transaction on Fundamentals, E82-A(4): 639–645, 2000.

    Google Scholar 

  36. T. Yan, Q. Dong, Y. Takashima, and Y. Kajitani, How does partitioning matter for 3D floorplanning?, Proceedings of the 16th ACM GLS-VLSI, pp. 73-78, 2006.

    Google Scholar 

  37. Y. Yang, Z. P. Gu, C. Zhu, R. P. Dick, and L. Shang, ISAC: Integrated space and time adaptive chip-package thermal analysis, IEEE Transaction on Computer-Aided Design of Integrated Circuits and Systems, 26(1): 86–99, January 2007.

    Article  Google Scholar 

  38. B. Yao, H. Chen, C. K. Cheng and R. Graham, Floorplan representations: complexity and connections, ACM Transaction on Design Automation of Electronic Systems, 8(1): 55–80, 2003.

    Article  Google Scholar 

  39. E. F. Y. Young, C. C. N. Chu, and Z. C. Shen, Twin Binary Sequences: A nonredundant representation for general nonslicing floorplan, IEEE Transaction on CAD, 22(4): 457–469, 2003.

    Google Scholar 

  40. S. Zhou, S. Dong, C.-K. Cheng, and J. Gu, ECBL: An extended Corner Block List with solution space including optimum placement, Proceedings of ISPD 2001, pp. 150–155, 2001.

    Google Scholar 

  41. P. Zhou, Y. Ma, Z. Li, R. P. Dick, L. Shang, H. Zhou, X. Hong, and Q. Zhou, 3D-STAF: scalable temperature and leakage aware floorplanning for three-dimensional integrated circuits, Proceedings of ICCAD 2007, pp. 590–597, 2007.

    Google Scholar 

  42. C. Zhuang, Y. Kajitani, K. Sakanushi, and L. Jin, An enhanced Q-Sequence augmented with empty-room-insertion and parenthesis trees, Proceedings of DATE 2002, pp. 61–68, 2002.

    Google Scholar 

Download references

Acknowledgments

The authors would like to acknowledge the support from the Gigascale Silicon Research Center, IBM under a DARPA subcontract, the National Science Foundation under CCF-0430077 and CCF-0528583, the National Science Foundation of China under 60606007, 60720106003, 60728205, the Tsinghua Basic Research Fund under JC20070021, and the Tsinghua National Laboratory for Information Science and Technology (TNList) Cross-discipline Foundation under 042003011; this support led to a number of results reported in this chapter.

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Jason Cong .

Editor information

Editors and Affiliations

Rights and permissions

Reprints and permissions

Copyright information

© 2010 Springer Science+Business Media, LLC

About this chapter

Cite this chapter

Cong, J., Ma, Y. (2010). Thermal-Aware 3D Floorplan. In: Xie, Y., Cong, J., Sapatnekar, S. (eds) Three Dimensional Integrated Circuit Design. Integrated Circuits and Systems. Springer, Boston, MA. https://doi.org/10.1007/978-1-4419-0784-4_4

Download citation

  • DOI: https://doi.org/10.1007/978-1-4419-0784-4_4

  • Published:

  • Publisher Name: Springer, Boston, MA

  • Print ISBN: 978-1-4419-0783-7

  • Online ISBN: 978-1-4419-0784-4

  • eBook Packages: EngineeringEngineering (R0)

Publish with us

Policies and ethics