Abstract
The semiconductor industry has accepted three-dimensional integrated circuits (3D ICs) as a possible solution to address speed and power management problems. In addition, 3D ICs have recently demonstrated a huge potential in reducing wire length and increasing the density of a chip. However, the growing density in chips such as TSV-based 3D ICs has brought the increased temperature on chip and temperature gradients depending on location. Thus, through silicon via (TSV)-based 3D clock tree synthesis (CTS) causes thermal problems leading to large clock skew. We propose a novel 3D symmetrical buffered clock tree synthesis considering thermal variation. First, <u>3D</u> abstract tree topology based on <u>n</u>earest-<u>n</u>eighbor selection with <u>m</u>edian cost (3D-NNM) is constructed by pairing sinks that have similar power consumption. Second, the layer assignment of internal nodes is determined for uniform TSV distribution. Third, in thermal-aware 3D deferred merging embedding (DME), the exact location of TSV is determined and wire routing/buffer insertion are performed after the thermal profile based on grid is obtained. The proposed method is verified using a 45nm process technology and utilized a predictive technology model (PTM) with HSPICE. It is also evaluated for the IBM benchmarks and ISPD’09 benchmarks with no blockages. In experimental result, we achieve on average 19% of clock skew reduction compared to existing thermal-aware 3D CTS. Therefore, thermal-aware 3D symmetrical buffered clock tree synthesis presented in this work is very efficient for circuit reliability.
- T. Y. Kim and T. Kim. 2010. Bounded skew clock routing for 3D stacked IC designs: Enabling trade-offs between power and clock skew. In Proceedings of the 2010 International Green Computing Conference. 525--532. Google ScholarDigital Library
- X. Zhao and S. K. Lim. 2010. Power and slew-aware clock network design for through-silicon-via (TSV)-based 3D ICs. 2010. In Proceedings of the 15th Asia and South Pacific Design Automation Conference (ASPDAC’10). 175--180. Google ScholarDigital Library
- X. Zhao, J. Minz, and S. K. Lim. 2011. Low-power and reliable clock network design for through-silicon Via (TSV)-based 3D ICs. IEEE Trans. Compon. Packag. Manufact. Technol. 1, 2 (2011), 247--259.Google ScholarCross Ref
- X. Zhao, D. Lewis, H.-H. Lee, and S. K. Lim. 2009. Pre-bond testable low-power clock tree design for 3D stacked ICs. In Proceedings of the International Conference on Computer-Aided Design (ICCAD’09). 184--190. Google ScholarDigital Library
- T. Y. Kim and T. Kim. 2010. Clock tree synthesis with pre-bond testability for 3D stacked IC Designs. In Proceedings of the Design Automation Conference (DAC’10). 723--728. Google ScholarDigital Library
- T. Y. Kim and T. Kim. 2010. Clock tree embedding for 3D ICs. In Proceedings of the 15th Asia and South Pacific Design Automation Conference (ASPDAC’10). 486--491. Google ScholarDigital Library
- M. A. B. Jackson, A. Srinnivasan, and E. S. Kuh. 1990. Clock routing for high-performance ICs. In Proceedings of the 27th Design Automation Conference (DAC’90). 573--579. Google ScholarDigital Library
- M. Edahiro. 1993. A clustering-based optimization algorithm in zero-skew routings. In Proceedings of the 30th Design Automation Conference (DAC’93). 612--616. Google ScholarDigital Library
- T. Y. Kim and T. Kim. 2011. Clock tree synthesis for TSV-based 3D IC designs. ACM Trans. Des. Autom. Electro. Syst. 16, 4 (2011), 1--21. Google ScholarDigital Library
- X. Zhao, D. L. Lewis, H.-H. S. Lee, and S. K. Lim. 2011. Low-power clock tree design for pre-bond testing of 3-D stacked ICs. IEEE Trans. Comput.-Aid. Des. Integr. Circ. Syst. 30, 5 (2011), 732--745. Google ScholarDigital Library
- J. Minz, X. Zhao, and S. K. Lim. 2008. Buffered clock tree synthesis for 3D ICs under thermal variations. In Proceedings of the Asia and South Pacific Design Automation Conference (ASPDAC’08). 504--509. Google ScholarDigital Library
- W. Liu et al. 2013. TSV-aware topology generation for 3D clock tree synthesis. In Proceedings of the 14th International Symposium on Quality Electronic Design (ISQED’13). 300--307.Google Scholar
- A. H. Ajami, K. Banerjee, and M. Pedram. 2005. Modeling and analysis of nonuniform substrate temperature effects on global ULSI interconnects. IEEE Trans. Comput.-Aid. Des. Integr. Circ. Syst. 24, 6 (2005), 849--861. Google ScholarDigital Library
- M. Cho, S. Ahmedtt, and D. Pan. 2005. TACO: Temperature aware clock-tree optimization. In Proceedings of the International Conference on Computer-Aided Design (ICCAD’05). 582--587. Google ScholarDigital Library
- A. Chakraborty, P. Sithambaram et al. 2006. Thermal resilient bounded-skew clock tree optimization methodology. In Proceedings of the Design, Automation 8 Test in Europe Conference 8 Exhibition (DATE’06). 1--6. Google ScholarDigital Library
- H. Yu, Y. Hu, C. Liu, and L. He. 2007. Minimal skew clock embedding considering time variant temperature gradient. In Proceedings of the International Symposium on Physical Design (ISPD’07). 173--180. Google ScholarDigital Library
- C. Liu, J. Su, and Y. Shi. 2008. Temperature-aware clock tree synthesis considering spatiotemporal hot spot correlations. In Proceedings of the 26th International Conference on Computer Design (ICCD’08). 107--113.Google Scholar
- T. H. Chao, Y-C. Hsu, J-M. Ho, K. D. Boese, A. B. Kahng. 1992. Zero skew clock routing with minimum wirelength. IEEE Trans. Circ. Syst. II. 39, 11 (1992), 799--814.Google Scholar
- A. Chakraborty, K. Duraisami et al. 2008. Dynamic thermal clock skew compensation using tunable delay buffers. IEEE Trans. VLSI Syst. 16, 6 (2008), 639--649. Google ScholarDigital Library
- M. Mondal et al. 2007. Thermally robust clocking schemes for 3D integrated circuits. In Proceedings of the Design, Automation 8 Test in Europe Conference 8 Exhibition (DATE’07). 1--6. Google ScholarDigital Library
- T. Zhang, Y. Zhan, and S. S. Sapatnekar. 2006. Temperature-aware routing in 3-D ICs. In Proceedings of the Asia and South Pacific Design Automation Conference (ASPDAC’06). 309--314. Google ScholarDigital Library
- C. W. Lin, T. H. Hsu, X. W. Shih, and Y. W. Chang. 2014. Buffered clock tree synthesis considering self-heating effects. In Proceedings of the 2014 International Symposium on Low Power Electronics and Design (ISLPED’14). 111--116. Google ScholarDigital Library
- K. Athikulwongse, X. Zhao, and S. K. Lim. 2010. Buffered clock tree sizing for skew minimization under power and thermal budgets. In Proceedings of the Asia and South Pacific Design Automation Conference (ASPDAC’10). 474--479. Google ScholarDigital Library
- M. R. Guthaus, D. Sylvester, and R. B. Brown. 2008. Clock tree synthesis with data-path sensitivity matching. In Proceedings of the Asia and South Pacific Design Automation Conference (ASPDAC’08). 498--503 Google ScholarDigital Library
- C. Liu, R. X. Chen et al. 2008. Thermal aware clock synthesis considering stochastic variation and correlations. In Proceedings of IEEE International Symposium on Circuits and Systems (ISCAS’08). 1204--1207.Google Scholar
- M. Pathak and S. K. Lim. 2009. Performance and thermal-aware Steiner routing for 3D stacked ICs. IEEE Trans. Comput.-Aid. Des. Integr. Circ. Syst. 28, 9 (2009), 849--861. Google ScholarDigital Library
- K. Banerjee, M. Pedram, and A. H. Ajami. 2001. Analysis and optimization of thermal issues in high-performance VLSI. In Proceedings of the International Symposium on Physical Design (ISPD’01). 230--237. Google ScholarDigital Library
- X. W. Shih, T. H. Hsu et al. 2013. Symmetrical buffered clock-tree synthesis with supply-voltage alignment. In Proceedings of the Asia and South Pacific Design Automation Conference (ASPDAC’13). 447--452.Google Scholar
- X. W. Shih and Y. W. Chang. 2010. Fast timing-model independent clock tree synthesis. In Proceedings of the Design Automation Conference (DAC’10). 80--85. Google ScholarDigital Library
- W. C. Elmore. 1948. The transient analysis of damped linear networks with particular regard to wideband amplifiers. in J. Appl. Phys. 19, 1 (1948), 55--63.Google ScholarCross Ref
- C. J. Alpert, T. C. Hu, J. H. Huang et al. 1995. Prim-Dijkstra tradeoffs for improved performance-driven routing tree design. IEEE Trans. CAD 14, 7 (1995), 890--896. Google ScholarDigital Library
- Predictive Technology Model. Retrieved from http://ptm.asu.edu.Google Scholar
- ISPD Contest. 2009. Retrieved from http://ispd.cc/contests/09/ispd09cts.html.Google Scholar
- IBM Benchmark. Retrieved from http://vlsicad.ucsd.edu/GSRC/bookshelf/Slots/BST.Google Scholar
- Hotspot 6.0. Retrieved from http://lava.cs.virginia.edu/HotSpot/.Google Scholar
- T. Y. Wang and C. C. P. Chen. 2002. 3D thermal-ADI: A linear-time chip level transient thermal simulator. IEEE Trans. Comput.-Aid. Design Integr. Circ. Syst. 21, 12 (2002), 1434--1445. Google ScholarDigital Library
- T. Lu and A. Srivastava. 2014. Gated low-power clock tree synthesis for 3D-ICs. In Proceedings of the International Symposium on Low Power Electronics and Design (ISLPED’14). 319--322. Google ScholarDigital Library
- K. Cho, C. Jang, J. Song, S. Kim, and J. Chong. 2014. Thermal aware clock tree optimization with balanced clock skew in 3D ICs. In Proceedings of the International Symposium on Consumer Electronics (ISCE’14). 1--2.Google Scholar
- A. Chakraborty, K. Duraisami, P. Sithambaram, A. Macii, E. Macii, and M. Poncino. 2010. Thermal-aware clock tree design to increase timing reliability of embedded socs. IEEE Trans. Circuits Syst. I, Reg. Papers 57, 10 (2010), 2741--2752. Google ScholarDigital Library
- Shang Yang et al. 2013. Thermal-reliable 3D clock-tree synthesis considering nonlinear electrical-thermal-coupled TSV model. In Proceedings of the IEEE Design Automation Conference (DAC’13). 693--698.Google Scholar
Index Terms
- Thermal-aware 3D Symmetrical Buffered Clock Tree Synthesis
Recommendations
Clock Tree synthesis for TSV-based 3D IC designs
For the cost-effective implementation of clock trees in through-silicon via (TSV)-based 3D IC designs, we propose core algorithms for 3D clock tree synthesis. For a given abstract tree topology, we propose DLE-3D (deferred layer embedding for 3D ICs), ...
Low-Power Clock Tree Synthesis for 3D-ICs
We propose efficient algorithms to construct a low-power clock tree for through-silicon-via (TSV)-based 3D-ICs. We use shutdown gates to save clock trees’ dynamic power, which selectively turn off certain clock tree branches to avoid unnecessary clock ...
Fast power- and slew-aware gated clock tree synthesis
Clock tree synthesis plays an important role on the total performance of chip. Gated clock tree is an effective approach to reduce the dynamic power usage. In this paper, two novel gated clock tree synthesizers, power-aware clock tree synthesizer (PACTS)...
Comments