skip to main content
research-article

Clock Tree synthesis for TSV-based 3D IC designs

Published:27 October 2011Publication History
Skip Abstract Section

Abstract

For the cost-effective implementation of clock trees in through-silicon via (TSV)-based 3D IC designs, we propose core algorithms for 3D clock tree synthesis. For a given abstract tree topology, we propose DLE-3D (deferred layer embedding for 3D ICs), which optimally finds the embedding layers of tree nodes, so that the TSV cost required for a tree topology is minimized, and DME-3D (deferred merge embedding for 3D ICs), which is an extended algorithm of the 2D merging segment, to minimize the total wirelength in 3D design space, with the consideration of the TSV effect on delay. In addition, when an abstract tree topology is not given, we propose NN-3D (nearest neighbor selection for 3D ICs), which constructs a (TSV and wirelength) cost-effective abstract tree topology for 3D ICs. Through experimentation, we have confirmed that the clock tree synthesis flow using the proposed algorithms is very effective, outperforming the existing 3D clock tree synthesis in terms of the number of TSVs, total wirelength, and clock power consumption.

References

  1. Arunachalam, V. and Burleson, W. 2008. Low-power clock distribution in a multilayer core 3d microprocessor. In Proceedings of the 18th ACM Great Lakes Symposium on VLSI. ACM, New York, 429--434. Google ScholarGoogle ScholarDigital LibraryDigital Library
  2. Banerjee, K., Souri, S., Kapur, P., and Saraswat, K. 2001. 3-D ics: A novel chip design for improving deep-submicrometer interconnect performance and system-on-chip integration. Proc. IEEE 89, 5, 602--633.Google ScholarGoogle ScholarCross RefCross Ref
  3. Chao, T.-H., Hsu, Y.-C., Ho, J.-M., Boese, K. D., and Kahng, A. B. 1992. Zero-skew clock routing with minimum wirelength. IEEE Trans. Circuits Syst. 39, 11, 799--814.Google ScholarGoogle ScholarCross RefCross Ref
  4. Chaturvedi, R. and Hu, J. 2004. Buffered clock tree for high quality ic design. In Proceedings of the 5th International Symposium on Quality Electronic Design. IEEE, Los Alamitos, CA, 381--386. Google ScholarGoogle ScholarDigital LibraryDigital Library
  5. Edahiro, M. 1993. A clustering-based optimization algorithm in zero-skew routings. In Proceedings of the 30th Design Automation Conference. ACM/IEEE, 612--616. Google ScholarGoogle ScholarDigital LibraryDigital Library
  6. Edahiro, M. 1994. An efficient zero-skew routing algorithm. In Proceedings of the 31st Design Automation Conference. ACM/IEEE, 375--380. Google ScholarGoogle ScholarDigital LibraryDigital Library
  7. ISPD. 2009. Ispd 2009 clock network synthesis contest. http://www.sigda.org/ispd/contests/09/ispd09cts.html.Google ScholarGoogle Scholar
  8. ITRS. 2009. International technology roadmap for semiconductors. http://www.itrs.net/.Google ScholarGoogle Scholar
  9. Jackson, M. A. B., Srinnivasan, A., and Kuh, E. S. 1990. Clock routing for high-performance ics. In Proceedings of the 27th Design Automation Conference. ACM/IEEE, 573--579. Google ScholarGoogle ScholarDigital LibraryDigital Library
  10. Kim, T.-Y. and Kim, T. 2010a. Bounded skew clock routing for 3d stacked ic designs: enabling trade-offs between power and clock skew. In Proceedings of the 2010 International Green Computing Conference. IEEE, Los Alamitos, CA, 525--532. Google ScholarGoogle ScholarDigital LibraryDigital Library
  11. Kim, T.-Y. and Kim, T. 2010b. Clock tree embedding for 3d ics. In Proceedings of the 15th Asia and South Pacific Design Automation Conference. IEEE, Los Alamitos, CA, 486--491. Google ScholarGoogle ScholarDigital LibraryDigital Library
  12. Kim, T.-Y. and Kim, T. 2010c. Clock tree synthesis with prebond testability for 3D stacked ic designs. In Proceedings of the 47th Design Automation Conference. ACM/IEEE, 723--728. Google ScholarGoogle ScholarDigital LibraryDigital Library
  13. Lim, S. K. 2010. Tsv-aware 3D physical design tool needs for faster mainstream acceptance of 3D ics. http://webadmin.dac.com/knowledgecenter/2010/documents/LIM-3D_Posted.pdf. DAC.COM Knowledge Center Article.Google ScholarGoogle Scholar
  14. Minz, J., Zhao, X., and Lim, S. K. 2008. Buffered clock tree synthesis for 3D ics under thermal variations. In Proceedings of the 13th Asia and South Pacific Design Automation Conference. IEEE, Los Alamitos, CA, 504--509. Google ScholarGoogle ScholarDigital LibraryDigital Library
  15. Mondal, M., Ricketts, A. J., Kirolos, S., Ragheb, T., Link, G., Vijaykrishnan, N., and Massoud, Y. 2007. Thermally robust clocking schemes for 3D integrated circuits. In Proceedings of the Conference on Design, Automation and Test in Europe. IEEE, Los Alamitos, CA, 1206--1211. Google ScholarGoogle ScholarDigital LibraryDigital Library
  16. Pavlidis, V. F., Savidis, I., and Friedman, E. G. 2008. Clock distribution networks for 3-D integrated circuits. In Proceedings of the IEEE 2008 Custom Integrated Circuits Conference. IEEE, Los Alamitos, CA, 651--654.Google ScholarGoogle Scholar
  17. PTM. Predictive technology model. http://ptm.asu.edu/.Google ScholarGoogle Scholar
  18. Reif, R., Fan, A., Chen, K.-N., and Das, S. 2002. Fabrication technologies for three-dimensional integrated circuits. In Proceedings of the 3rd International Symposium on Quality Electronic Design. IEEE, Los Alamitos, CA, 33--37. Google ScholarGoogle ScholarDigital LibraryDigital Library
  19. Restle, R. J. et al. 2001. A clock distribution network for microprocessors. IEEE J. Solid-State Circuits 36, 5, 792--799.Google ScholarGoogle ScholarCross RefCross Ref
  20. Takahashi, A., Inoue, K., and Kajitani, Y. 1997. Clock-tree routing realizing a clock-schedule for semisynchronous circuits. In Proceedings of the International Conference on Computer-Aided Design. ACM/IEEE, Los Alamitos, CA, 260--265. Google ScholarGoogle ScholarDigital LibraryDigital Library
  21. Tezzaron. 2010. 3D ic industry summary, http://www.tezzaron.com/technology/3D_IC_Summary.html.Google ScholarGoogle Scholar
  22. Zhao, X., Lewis, D. L., Lee, H.-H. S., and Lim, S. K. 2009. Prebond testable low-power clock tree design for 3D stacked ics. In Proceedings of the International Conference on Computer-Aided Design. ACM/IEEE, Los Alamitos, CA, 184--190. Google ScholarGoogle ScholarDigital LibraryDigital Library
  23. Zhao, X. and Lim, S. K. 2010. Power and slew-aware clock network design for through-silicon-via (tsv) based 3D ics. In Proceedings of the 15th Asia and South Pacific Design Automation Conference. IEEE, Los Alamitos, CA, 175--180. Google ScholarGoogle ScholarDigital LibraryDigital Library

Index Terms

  1. Clock Tree synthesis for TSV-based 3D IC designs

        Recommendations

        Comments

        Login options

        Check if you have access through your login credentials or your institution to get full access on this article.

        Sign in

        Full Access

        • Published in

          cover image ACM Transactions on Design Automation of Electronic Systems
          ACM Transactions on Design Automation of Electronic Systems  Volume 16, Issue 4
          October 2011
          326 pages
          ISSN:1084-4309
          EISSN:1557-7309
          DOI:10.1145/2003695
          Issue’s Table of Contents

          Copyright © 2011 ACM

          Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

          Publisher

          Association for Computing Machinery

          New York, NY, United States

          Publication History

          • Published: 27 October 2011
          • Accepted: 1 April 2011
          • Revised: 1 January 2011
          • Received: 1 October 2010
          Published in todaes Volume 16, Issue 4

          Permissions

          Request permissions about this article.

          Request Permissions

          Check for updates

          Qualifiers

          • research-article
          • Research
          • Refereed

        PDF Format

        View or Download as a PDF file.

        PDF

        eReader

        View online with eReader.

        eReader