Skip to main content

Towards Fine-Grained DVFS in Embedded Multi-core CPUs

  • Conference paper
  • First Online:

Part of the book series: Lecture Notes in Computer Science ((LNTCS,volume 10793))

Abstract

Dynamic Voltage and Frequency Scaling (DVFS) is the preferred actuator for power-performance policies, and its use is growing also for thermal management. DVFS implementations, especially for embedded platforms, have historically provided only few possible operating points, despite this may impair the optimality of the frequency selection for a given application. Moreover, with multiple policies making use of it, the rate at which frequency and voltage changes will occur in a given system is expected to increase. The work presented in this paper has a two-fold objective: first, to present a methodology to extend a DVFS driver with additional operating points and second, to measure the impact of DVFS transitions from the performance and energy consumption perspective. This contribution can thus help both operating system and run-time manager designers to implement more efficient policies, as well as device driver programmers and hardware designers to optimize the DVFS infrastructure. The proposed approach has been tested on a quad-core ARM Cortex-A9 CPU based development board.

This is a preview of subscription content, log in via an institution.

Buying options

Chapter
USD   29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD   39.99
Price excludes VAT (USA)
  • Available as EPUB and PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD   54.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Learn about institutional subscriptions

References

  1. Taylor, M.: A landscape of the new dark silicon design regime. In: IEEE Micro, pp. 8–19, September 2013

    Google Scholar 

  2. Nikov, K., Nunez-Yanez, J.L., Horsnell, M.: Evaluation of hybrid run-time power models for the ARM Big.LITTLE architecture. In: IEEE International Conference on Embedded and Ubiquitous Computing (EUC), October 2015

    Google Scholar 

  3. Garcia, R.C., Chung, J.M., Jo, S.W., Ha, T., Kyong, T.: Response time performance estimation in smartphones applying dynamic voltage & frequency scaling and completely fair scheduler. In: IEEE International Symposium on Consumer Electronics (ISCE), pp. 1–2, June 2014

    Google Scholar 

  4. Kwak, J., Choi, O., Chong, S., Mohapatra, P.: Dynamic speed scaling for energy minimization in delay-tolerant smartphone applications. In: IEEE Conference on Computer Communications (INFOCOM), pp. 2292–2300, April 2014

    Google Scholar 

  5. Park, J.G., Hsieh, C.Y., Dutt, N., Lim, S.S.: Quality-aware mobile graphics workload characterization for energy-efficient DVFS design. In: IEEE Symposium on Embedded Systems for Real-time Multimedia (ESTIMedia), October 2014

    Google Scholar 

  6. Srinivasan, S., Kurella, N., Koren, I., Kundu, S.: Dynamic reconfiguration vs. DVFS: a comparative study on power efficiency of processors. In: International Conference on VLSI Design and International Conference on Embedded Systems (VLSID), pp. 563–564, January 2016

    Google Scholar 

  7. Egilmez, B., Memik, G., Ogrenci-Memik, S., Ergin, O.: User-specific skin temperature-aware DVFS for smartphones. In: Design, Automation Test in Europe Conference Exhibition (DATE), pp. 1217–1220, March 2015

    Google Scholar 

  8. Leva, A., Terraneo, F., Giacomello, I., Fornaciari, W.: Event-based power/performance-aware thermal management for high-density microprocessors. IEEE Trans. Control Syst. Technol. 26, 535–550 (2017)

    Article  Google Scholar 

  9. Eyerman, S., Eeckhout, L.: Fine-grained DVFS Using on-chip regulators. ACM Trans. Archit. Code Optim. 8, 1:1–1:24 (2011)

    Article  Google Scholar 

  10. Juan, D.C., Garg, S., Park, J., Marculescu, D.: Learning the optimal operating point for many-core systems with extended range voltage/frequency scaling. In: International Conference on Hardware/Software Codesign and System Synthesis (CODES + ISSS), pp. 1–10. IEEE (2013)

    Google Scholar 

  11. Pan, J., Yoshihara, T.: A fast lock phase-locked loop using a continuous-time phase frequency detector. In: IEEE Conference on Electron Devices and Solid-State Circuits, pp. 393–396, December 2007

    Google Scholar 

  12. Abadian, A., Lotfizad, M., Majd, N.E., Ghoushchi, M.B.G., Mirzaie, H.: A new low-power and low-complexity all digital PLL (ADPLL) in 180 nm and 32 nm. In: IEEE International Conference on Electronics, Circuits and Systems (2010)

    Google Scholar 

  13. Kim, W., Gupta, M.S., Wei, G.Y., Brooks, D.: System level analysis of fast, per-core DVFS using on-chip switching regulators. In: IEEE International Symposium on High Performance Computer Architecture, pp. 123–134, February 2008

    Google Scholar 

  14. Altieri, M., Lombardi, W., Puschini, D., Lesecq, S.: Coupled voltage and frequency control for DVFS management. In: International Workshop on Power and Timing Modeling, Optimization and Simulation (PATMOS), September 2013

    Google Scholar 

  15. Park, S., Park, J., Shin, D., Wang, Y., Xie, Q.: Accurate modeling of the delay and energy overhead of dynamic voltage and frequency scaling in modern microprocessors. IEEE Trans. Comput.-Aided Des. Integr. Circ. Syst. 32, 695–708 (2013)

    Article  Google Scholar 

  16. Terraneo, F., Zoni, D., Fornaciari, W.: A cycle accurate simulation framework for asynchronous NoC design. In: International Symposium on System-on-Chip, SoC 2013 (2013)

    Google Scholar 

  17. Begum, R., Werner, D., Hempstead, M., Prasad, G., Challen, G.: Energy-performance trade-offs on energy-constrained devices with multi-component DVFS. In: IEEE International Symposium on Workload Characterization (IISWC) (2015)

    Google Scholar 

  18. Tan, L., Chen, Z., Zong, Z., Li, D., Ge, R.: A2E: Adaptively aggressive energy efficient DVFS scheduling for data intensive applications. In: IEEE International Performance Computing and Communications Conference (IPCCC) (2013)

    Google Scholar 

  19. Ge, R., Feng, X., Feng, W.C., Cameron, K.W.: CPU MISER: a performance-directed, run-time system for power-aware clusters. In: International Conference on Parallel Processing (ICPP), p. 18, September 2007

    Google Scholar 

  20. https://www.kernel.org/doc/html/v4.13/admin-guide/pm/intel_pstate.html

  21. Leva, A., Terraneo, F., Fornaciari, W.: Event-based control as an enabler for high power density processors. In: International Conference on Event-based Control, Communication, and Signal Processing (EBCCSP), June 2016

    Google Scholar 

  22. Rodopoulos, D., Catthoor, F., Soudris, D.: Tackling performance variability due to RAS mechanisms with PID-controlled DVFS. IEEE Comput. Architect. Lett. 14, 156–159 (2015)

    Article  Google Scholar 

  23. Liu, Y., Yang, H., Dick, R.P., Wang, H., Shang, L.: Thermal vs energy optimization for DVFS-enabled processors in embedded systems. In: International Symposium on Quality Electronic Design (ISQED), pp. 204–209 (2007)

    Google Scholar 

Download references

Acknowledgments

This work was supported in part by the European Union funded project under the grant M2DC H2020-688201 (http://www.m2dc.eu/en/) and MANGO H2020-671668 (http://www.mango-project.eu/).

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Federico Terraneo .

Editor information

Editors and Affiliations

Rights and permissions

Reprints and permissions

Copyright information

© 2018 Springer International Publishing AG, part of Springer Nature

About this paper

Check for updates. Verify currency and authenticity via CrossMark

Cite this paper

Massari, G., Terraneo, F., Zanella, M., Zoni, D. (2018). Towards Fine-Grained DVFS in Embedded Multi-core CPUs. In: Berekovic, M., Buchty, R., Hamann, H., Koch, D., Pionteck, T. (eds) Architecture of Computing Systems – ARCS 2018. ARCS 2018. Lecture Notes in Computer Science(), vol 10793. Springer, Cham. https://doi.org/10.1007/978-3-319-77610-1_18

Download citation

  • DOI: https://doi.org/10.1007/978-3-319-77610-1_18

  • Published:

  • Publisher Name: Springer, Cham

  • Print ISBN: 978-3-319-77609-5

  • Online ISBN: 978-3-319-77610-1

  • eBook Packages: Computer ScienceComputer Science (R0)

Publish with us

Policies and ethics