Open access peer-reviewed chapter

Plasma Damage on Low-k Dielectric Materials

Written By

Yi-Lung Cheng, Chih-Yen Lee and Chiao-Wei Haung

Reviewed: 13 June 2018 Published: 05 November 2018

DOI: 10.5772/intechopen.79494

From the Edited Volume

Plasma Science and Technology - Basic Fundamentals and Modern Applications

Edited by Haikel Jelassi and Djamel Benredjem

Chapter metrics overview

2,089 Chapter Downloads

View Full Metrics

Abstract

Low dielectric constant (low-k) materials as an interconnecting insulator in integrated circuits are essential for resistance-capacitance (RC) time delay reduction. Plasma technology is widely used for the fabrication of the interconnects, such as dielectric etching, resisting ashing or stripping, barrier metal deposition, and surface treatment. During these processes, low-k dielectric materials may be exposed to the plasma environments. The generated reactive species from the plasma react with the low-k dielectric materials. The reaction involves physical and chemical effects, causing degradations for low-k dielectric materials. This is called “plasma damage” on low-k dielectric materials. Therefore, this chapter is an attempt to provide an overview of plasma damage on the low-k dielectric materials.

Keywords

  • plasma
  • low dielectric constant
  • porosity
  • plasma damage
  • Cu interconnects
  • back end of line
  • damascene

1. Introduction

To improve the performance of integrated circuits (ICs), the device dimensions are continuous scaling down. However, as the technology node of ICs is advanced to 0.25 μm, the interconnect-induced delay outpaces the gate delay, becoming the main obstacles for the downscaling [1, 2, 3]. This interconnect-induced delay is so-called resistance-capacitance (RC) delay, which is produced by the conductors and insulators in the interconnects [4, 5, 6]. With decreasing the device dimensions, both the resistance and the interline capacitance increase due to the decrease of the conductor cross section, the increase of the wire length, and the reduction of interline spacing. Hence, the RC delay is significantly increased with the advance of the technology node.

In order to slow down the increase of RC delay, the introduction of new materials to the back-end-of-line (BEOL) interconnects is needed. Aluminum (Al) had been replaced by copper (Cu) as a conductor dielectric because Cu can provide a lower resistivity (ρ) [7]. In the case of the interconnecting insulator, the traditional SiO2 dielectric had been replaced by the low-k materials with the relative dielectric constant (k) lower than 4.0 (SiO2k value) [8, 9, 10].

Additionally, the integration method for Cu/low-k interconnects must be changed because Cu etching is very challenging due to nonvolatile by-products. Traditional metal etching approach had been replaced by a damascene process [11]. In a damascene process, plasma technology is widely used because it can provide an isotropic process and a fast rate. Thus, these changes make the low-k materials to direct contact with the plasma, such as dielectric etching, photo strip, barrier metal deposition, and surface treatment. Under the plasma irradiation, low-k materials are sensitive to chemical modification, resulting in an increased k value. This is so-called plasma damage [12, 13, 14, 15], becoming the main impediment to a successful integration of low-k materials into ICs.

In this connection, this chapter is an attempt to provide an overview of plasma damage on the low-k materials. This chapter is organized as follows: in Section 3, we introduce the low-k materials and plasma. Next, in Section 5, the processing with plasma damage on the low-k materials during interconnects fabrication is identified. Then, in Section 4, the results of plasma damage on the low-k materials based on our group’s investigation are summarized. Finally, short conclusion is provided in Section 5.

Advertisement

2. Low-k materials and plasma

2.1. Low-k materials

The dielectric constant of materials can be typically described by Clausius-Mossotti Equation [16]:

k1k+2=4πN3αE1

where k = ε/ε0, ε, and ε0 are the dielectric constants of the material and vacuum, N is the number of molecules per unit volume (density), and α is the total polarizability, including electronic (αe), distortion (αd), and orientation (αo) polarizabilities. According to Eq. (1), the dielectric constant of materials can be reduced by two strategies: decreasing the total polarizability (α) and density (N). Reducing the polarizability can be achieved by the use of low polar bonds (like C–C, C–H, Si–CH3, etc.), and reducing the film’s density can be obtained by means of the introduction of porosity. Table 1 summarizes the classification of low-k materials and their corresponding dielectric constants.

Table 1.

Low-k dielectric classification.

Low-k materials can be divided into several categories: silica-based, silsesquioxane (SSQ)-based, organic polymers, and amorphous carbon low-k materials [17, 18, 19, 20]. The last three categories have integration issue due to the weak mechanical strength; therefore, they are not officially production in the semiconductor industry.

The silica-based low-k materials have been successfully integrated in a microprocessor due to high chemical and thermal stability. The silica-based materials have the tetrahedral basic structure of SiO2. Silica has a molecular structure, in which each Si atom is bonded to four oxygen atoms and each oxygen atom is bonded to two silicon atoms (SiO4/2). Each silicon atom is at the center of a regular tetrahedron of oxygen atoms.

The first-generation low-k material in semiconductor production line was fluorinated silicon glass (FSG or SiOF), in which the Si–O bond is replaced by the less polarizable Si–F bond. FSG materials were used at the 0.18 μm technology node with the dielectric constant from 3.5 to 3.8, depending on the concentration of Si–F bond [21, 22].

Next, the second-generation low-k material was the organosilicate glass (SiCOH), in which the Si–O bond is replaced by the less polarizable Si–CH3 bond. The k value of the SiCOH material is in the range of 2.6–3.0, depending on the number of CH3 groups built into the structure. So, SiCOH materials were successfully integrated in some 130 nm and 90 nm products [23, 24]. Generally, FSG and SiCOH materials were deposited by plasma-enhanced chemical vapor deposition (PECVD). Moreover, both fluorine and carbon increase the interatomic distances or “free volume” of silica. This provides an additional decrease of dielectric constant but decreases the film density. Since the CH3 group has a larger volume and is hydrophilic, SiCOH materials have a lower density (~1.2–0.4 g/cm3) and tend to be hydrophilic.

The limitation of k value for SiCOH materials is ~2.6. To prevent or limit an increase in the BEOL capacitance in the advanced technology nodes (65 nm or below), it requires a new low-k material with a further lower-k value (< 2.5). To meet this goal, the introduction of porosity in the low-k SiCOH materials is required because air can provide the minimum k value of ~1.0. The produced low-k material is a so-called porous SiCOH dielectric, which can be fabricated either by the structural or the subtractive method [25, 26, 27]. The latter method is widely accepted because the produced film is more thermally stable and can provide a lower-k value. In the subtractive method, the films are deposited as a dual-phase material, using a mixture of a SiCOH skeleton precursor with an organic porogen precursor. The popularly used skeleton precursor is diethoxymethylsilane (DEMS). The used organic porogen precursor must have sufficient volatility for easy removal. The used molecules are alpha-terpinene (ATRP), bicycloheptadiene (BCHD), or cyclooctane (C8H16). Hence, in order to remove the labile organic fraction in the as-deposited films, curing process has to be done after the deposition [8, 10, 27]. By this way, a porous film can be formed. Thermal curing, electron beam, or ultraviolet (UV) irradiation can be used to achieve this work. Generally, UV-assisted curing for the fabrication of porous SiCOH dielectrics is widely adopted by the semiconductor industry because it can also rearrange the film’s structure and enhance the cross-linking of the skeleton. This provides a big help to improve the mechanical strength for porous SiCOH dielectrics.

The k value of porous SiCOH dielectrics can be scaling down by increasing the porosity and pore size simultaneously. However, this makes materials to become softer. Moreover, both the dielectric breakdown field and leakage current are degraded. Furthermore, as the porosity or pore size increases to a critical value, the pores can be connected each other to form so-called open pores. The open pores can be served as the easier penetration path into the bulk of the low-k material for active reactants [28]. Thus, more challenges will be addressed as porous SiCOH dielectrics are integrated in the advanced technology nodes.

2.2. Plasma

In a vacuum system, plasma can be produced by introducing the process gas and applying the power. The process gas can be underwent ionization, excitation/relaxation, and dissociation under the power. Therefore, energetic ions, electrons, light (from deep vacuum ultraviolet (VUV) to infrared (IR)), and highly reactive radicals are produced in the plasma [29, 30]. In semiconductor processing, plasma technology can be used for ion implantation, etching, and deposition. The ion implantation processing is achieved by the energetic ions. The etching processing involves both physical and chemical reactions, which are related to the energetic ions and the highly reactive radicals, respectively. The deposition processing only relies on the highly reactive radicals for chemical reaction.

To produce the plasma, three main reactors are used: capacitively coupled plasma (CCP), inductively coupled plasma (ICP), and remote or downstream plasma (RP or DSP) [31]. The energy transfers are through capacitive coupling by parallel electrodes, inductive coupling by a coil, and microwaves for CCP, ICP, and RP systems, respectively. In the CCP and ICP systems, light from VUV to IR, energetic ions, electrons, and highly reactive radicals are presented. In the RP reactors, however, the plasma generation region is usually separated from the processing region. Additionally, a grid between the plasma and the substrate is used for charge neutralization, and a special measure is designed to minimize the photon flux. As a result, only reactive radicals or dissociated molecules or atoms can reach the surface of the wafer. This minimizes the damage from light and/or high-energy species. Due to the absence of ions, the RP reactors cannot provide patterning etching.

In the ICP systems, there are two applied RF power: one is source power (top power), and the other is bias power (bottom power). Therefore, plasma density and ion energy can be controlled separately. Additionally, the ICP system has the highest plasma density with 1011–1012 electrons/cm3 [31]. The plasma density of CCP system is 109–1010 electrons/cm3. The RP system has the lowest plasma density. Due to anisotropic etching property provided by ion bombardment, ICP and CCP systems are usually used for pattern etching. Since dielectric films are very sensitive to ion bombardment and ICP reactors lack passivating species required by typical dielectric etching, CCP reactors are mostly used for dielectric patterning etching. On the other hand, ICP reactors are often used for conductor patterning etching due to the etching rate consideration. To avoid damage by ion bombardment and UV light irradiation or no need anisotropic etching in the plasma process, RP reactors are the best choice. So, cleaning and resist stripping processes during semiconductor fabrication can be done by RP reactors.

2.3. Plasma damage mechanism

The plasma-induced damage on the low-k dielectrics is a complex phenomenon involving both physical and chemical effects. Ion bombardment on the low-k dielectrics represents the physical effect. This effect depends on the energy distribution and flux for each ionic species. The chemical effect involves photochemistry induced by the UV radiation and chemical reaction between the radicals and low-k constituents. Under physical and chemical reactions in the plasma, the surface of low-k dielectrics is modified. The modification depth is related to the ion energy, diffusion of active radicals (O, H, F, etc.), and porosity and constituents in the low-k material [32, 33].

The plasma damage on low-k dielectrics makes the increase of the dielectric constant, the changes in bonding configuration, the formation of carbon-depleted layer, film shrinkage, and surface densification.

The depletion of carbon is mainly caused by active radicals through chemical reactions. Due to the loss of hydrophobic CH3 groups, the surface of low-k dielectrics becomes hydrophilic and adsorbs moisture. Therefore, a drastically increase in the k value and leakage current and a degradation in the dielectric breakdown were detected for plasma-treated low-k dielectrics.

Advertisement

3. Low-k plasma damage during interconnects fabrication

As Al/SiO2 interconnects had been transferred to Cu/low-k interconnects, the fabrication method was also changed. “Damascene” process has been used to fabricate Cu/low-k interconnects because Cu cannot be easily patterned by reactive ion etching (RIE) due to the low volatility of Cu etching by-products, such as Cu chlorides and Cu fluorides [34]. Generally, “dual-damascene” process, in which both via and trench are patterned simultaneously, is widely used. The sequence of via and trench patterning can be changed. Via-first dual-damascene process, in which via is first patterned, is preferred [35]. The process flow of via-first dual damascene is plotted step by step, as shown inFigure 1.

Figure 1.

Via-first dual-damascene process flow for Cu/low-k interconnects. (A) Etching stopping layer deposition (SiN, SiC, SiCN, SiCOH, SiO2). (B) Low-k dielectric (SiCOH) deposition. (C) Via-1 lithography. (D) Via-1 etching. (E) Photoresist ashing or stripping. (F) Via-1 ARC plug and M-2 trench lithography. (G) M-2 trench etching. (H) ARC plug removal, photoresist ashing, and stripping etching stop layer opening. (I) Cu metal barrier and seed layer deposition. (J) Cu ECP deposition. (K) Cu CMP. (L) Etching stopping layer deposition (repeated (A)).

The induced plasma damage on low-k dielectrics during the fabrication of Cu/low-k interconnects by the use of via-first dual-damascene process is described below:

After processing of Metal-1 (M-1), the etching stop layer (Cu barrier dielectric layer) is firstly deposited by PECVD method. The used material can be SiN, SiC, or SiCN. Before deposition, NH3 or H2 plasma clean is performed to remove copper oxide (CuOx) for adhesion improvement [36, 37]. Both these two steps would damage the underlying low-k dielectric. Then, a PECVD SiCOH low-k dielectric film is deposited for the Via-1 (V-1)/Metal-2 (M-2) patterning. Due to the presence of the etching stop layer, the plasma damage is seldom occurred in this step. Next, Via-1 and Metal-2 trench are subsequently patterned. Via-1 patterning is stopping on the etching layer. Then, before Metal-2 trench patterning, the plug is filled into the Via-1 to avoid etching during Metal-2 trench etching. Finally, resist removal and etching stop layer opening are subsequently performed to complete the dual-damascene patterning.

In the Via-1 and Metal-2 trench patterning, the etching process induces plasma damage not only on the horizontal surfaces but also on the vertical surfaces (sidewall).

The damaged layer on the horizontal surfaces can be removed as the etching proceeds. Therefore, the resulted damage on the low-k dielectric is the result of a competition between the etching rate and the diffusion rate of active species causing the damage. The non-damaging process can be achieved by using higher etching rate process. However, for vertical surfaces, the damage is still remained after etch. The damage is more minor due to the absence of ion bombardment. The detrimental effect on the low-k dielectrics is caused by photoresist process because oxygen (O2) is widely used as plasma gas due to high reactivity of O radicals [38, 39]. To minimize the plasma damage during the photoresist process, H2-based plasma in the RP reactor is a viable alternative. To facilitate the removal rate of photoresist, the operation temperature can be elevated [40, 41].

Then, metallization process is preceded in the dual-damascene structure. Cu barrier layer, Cu seed layer, and bulk Cu layer are subsequently deposited. Finally, Cu chemical-mechanical polishing (CMP) process is used to remove the excess metal over the field regions. Thus, a layer of Cu dual-damascene structure (via and trench) is finished. In these steps, Cu barrier layer and seed layer are performed by PVD sputtering with using plasma. The former step would cause damage on the low-k dielectrics due to the direct contact with the dielectric. The purpose of this step is to prevent Cu from diffusing into the dielectric, and the typically used material is a TaN/Ta barrier layer. It should be mentioned that plasma cleaning before Cu barrier layer deposition is necessary because the underlying Cu film is opening. This plasma cleaning can be done either by Ar physical bombardment or H2 chemical reaction. However, low-k dielectrics are damaged under such plasma cleaning.

After completing the Cu metallization fabrication, the above steps are repeated for each metal level. After the last metal layer is fabricated, thick dielectric passivation layer (e.g., SiO2/SiN bilayer) is deposited, and via is opened to the bond pads.

Advertisement

4. Low-k plasma damage

4.1. Plasma damage characterization

To characterize the plasma damage on the low-k dielectrics, several methodologies can be used to detect the physical and chemical changes of low-k dielectrics after irradiation of plasma. The plasma induces a dense, hydrophilic, SiO2-like layer at the top surface of the low-k dielectric. The thickness of this layer can be measured using spectral reflectivity or ellipsometry with bilayer model, scanning electron microscope (SEM), or transition emitting microscopy (TEM). Figure 2 displays TEM image of the porous low-k dielectrics after O2 plasma treatment. A distinct layer is formed at the top surface of the film.

Figure 2.

TEM image of porous low-k dielectric after O2 plasma treatment.

X-ray reflectivity (XRR) is another method to determine the density, thickness, and roughness of both pristine and damaged low-k layers through software data fitting [42]. Figure 3 shows the XRR density profile of the low-k film after He plasma. The result demonstrates that He plasma creates a thin densification layer in the top part of the low-k film. The thickness of this densification layer is close to 17 nm. The density of the bulk layer in the pristine material density is constant and remained unchanged. However, the top of the densification layer has a higher density [43].

Figure 3.

XRR spectrum of low-k dielectrics after He plasma treatment [43].

“HF decoration” method [44] can be used to detect the modification layer induced by plasma. This method is based on the fact that a pristine low-k dielectric is usually not dissolved or slowly dissolved in the diluted HF solution. In contrast, a plasma-induced damaged layer is attacked by HF very quickly. Therefore, following the HF decoration, the thickness loss is equal to the thickness of the damaged layer.

A given system of solid and liquid (or vapor) at a given temperature and pressure has a unique equilibrium contact angle. The measured angle is water contact angle (WCA). It can be used to quantify the wettability of a solid surface by a liquid via the Young equation. If the used liquid molecules are strongly attracted to the solid molecules, the liquid drop then will completely spread out on the solid surface, corresponding to a WCA of 0°. This case can be occurred at bare metallic or ceramic surfaces for water liquid. As an oxide layer or contaminant is on the solid surface, WCA value significantly increases. Generally, the solid surface tends to be hydrophilic if WCA value is smaller than 90°, while if WCA value is larger than 90°, the solid surface is considered to be hydrophobic. For low-k dielectrics, WCA measurement is a power method to determine the films’ hydrophobicity. If the used low-k dielectrics are hydrophilic, they tend to absorb moisture in the air, increasing the dielectric constant. Moreover, as the plasma is treated on low-k dielectrics, Si–OH/H–OH bonds can be formed because the plasma-generated dangle bonds absorb moisture. Figure 4 compares the WCA values and images of the pristine and plasma-treated SiCOH low-k dielectrics. The WCA value of the as-deposited SiCOH low-k dielectrics is larger than 85° due to the presence of hydrophobic Si–CH3 groups. After plasma irradiation, the loss of Si–CH3 groups and the formation of Si–OH/H–OH bonds result in a decreasing WCA value, making the low-k dielectric to be more hydrophilic.

Figure 4.

WCA values and images of porous low-k dielectrics after O2 plasma treatment.

Fourier transform infrared (FT-IR) spectroscopy is a common technique to characterize the structure of SiCOH low-k dielectrics [45, 46]. Figure 5 compares the FTIR spectrum of the pristine and plasma-treated low-k dielectrics. Absorption bands located at ∼950–1250 and ∼1273 cm−1 correspond to Si–O–Si and Si–CH3 groups, respectively, which are the main representative. Additionally, Si–H bending and C–Hx stretching located at 2200–2250 and 2850–3100 cm−1 are detected. The appearance of the absorbance of the Si–OH and H2O groups at 3200–3500 cm−1 depends on hydrophobic properties of the film. For the pristine SiCOH low-k dielectrics, no peak at 3200–3500 cm−1 is detected, representing that no moisture is present in the film, which is consistent with WCA result. After NH3 plasma irradiation, the intensities of the Si–CH3 and Si–H absorbances are decreased, while the absorbances of the Si–OH/H2O groups are increased. However, the low-k dielectric is pretreated with He plasma, and it can suppress the formation of the Si–OH/H2O groups [47].

Figure 5.

FT-IR absorption spectra of low-k dielectrics before and after plasma treatment in the range of 4400–400 cm−1 [47].

The Si–O–Si bridging in the 900–1250 cm−1 can be deconvoluted into three peaks centered at 1129, 1063, and 1023 cm−1, corresponding to the Si–O–Si cage-like structure with a bond angle of approximately 150°, Si–O–Si network with a bond angle of 140°, and Si–O–Si suboxide structure with a bond angle of less than 140°, respectively. Other contributions from C–O–C and Si–O–Si asymmetric stretching will also be overlapped with the Si–O–Si asymmetric stretching in the broadband at 1000–1200 cm−1 [45]. The intensity of Si–O–Si bonds slightly increases, and this peak shifts to a higher wavelength after O2 plasma treatment.

X-ray photoelectron spectroscopy (XPS) is a surface-sensitive spectroscopic technique to quantitatively measure a material’s elemental composition. XPS can also be operated in a “depth-profiling mode” to analyze the elemental composition throughout the film by using ion etching/sputtering technology. For SiCOH low-k dielectrics, C, O, and Si elements can be detected, while H element cannot be detected.

In the pristine SiCOH low-k dielectrics, a homogeneous chemical composition was expected, but the ratio of these elements depends on the used materials. For the plasma-treated sample, the top surface exhibits a high initial oxygen concentration coupled to a very low carbon concentration. A gradual increase in carbon content and a concomitant decrease in oxygen concentration were observed with the film depth, as shown in Figure 6. As the atomic concentrations are back to a level same with the pristine low-k dielectric, the depth is corresponding to the plasma-damaged layer. Moreover, this plasma-damaged layer is not a homogeneous layer.

Figure 6.

Carbon concentration of XPS depth-profiling for pristine and O2 plasma-treated low-k dielectrics.

The dielectric constant (k), the leakage current, the breakdown voltage (or field), and the breakdown time of low-k dielectrics are measured using metal-insulator-semiconductor (MIS) capacitor structures, which can be fabricated by evaporation of aluminum through a metal shadow mask to form Al dots on the film. Before measurements, the samples are required to remove the physically absorbed water by annealing at 100–150°C. The k value of the low-k dielectric is determined from the measured capacitance by capacitance-voltage (C-V) measurements at a frequency of 10 kHz. The film thickness and the dot area must be precisely measured in order to obtain the reliable k value. The leakage current and the breakdown voltage (or field) are determined by current-voltage (I-V) measurements. The breakdown field is calculated by the measured breakdown voltage divided by film thickness. The leakage current J (A/cm2) is recorded as a function of field strength until the breakdown field is reached. It is usually reported at a low field of 1–2 MV/cm. The breakdown time is measured by using time-dependent dielectric breakdown (TDDB) tests. In a TDDB test, a constant voltage (field) is applied to the MIS capacitor structure with a low-k dielectric, and the leakage current is monitored with stress time. The dielectric breakdown time is recorded as the stress time at a sudden rise of the leakage current density. The applied voltage (field) must be lower than the measured breakdown voltage (field). In a real Cu/low-k interconnects, comb/serpentine (also called meander fork) or comb/comb (fork/fork) patterns are typically used to measure the interline capacitance, the leakage current, the breakdown field, and the dielectric breakdown time.

4.2. Plasma damage on the electrical characterization and reliability

In this section, the results of plasma damage on the low-k dielectrics from our group’s investigation are reported in terms of the effects on the electrical characterization and reliability. The experimental detail deposition can be found elsewhere [39, 48, 49, 50].

4.2.1. O2 plasma damage

4.2.1.1. Plasma process dependence

Figure 7 shows the variation in the k value of a porous low-k dielectric after O2 plasma treatments with various plasma conditions (power, treatment time, and O2 flow rate). The k value of the pristine porous low-k dielectric was 2.56. The k values of porous low-k dielectrics increased after plasma treatment. The increasing magnitude increased with increasing the RF power and the treatment time but slightly deceased with increasing the O2 flow rate. More reactive oxygen species (ions and radicals) formation and a deeper penetration depth for a higher RF power and a longer treatment time in an O2 plasma treatment can be responsible for a larger change in the k value. The negative dependence on the O2 flow rate can be attributed to the decreased dissociation rate of O2 gas due to a fixed RF power, leading to a decreased reactive oxygen species (ions and radicals) in the plasma.

Figure 7.

Dielectric constant change of porous low-k dielectrics under various O2 plasma treatment conditions (standard condition: RF power = 60 W; time = 60 s; oxygen flow = 100 sccm).

Figure 8(a) compares the leakage current density and the stress electric field for the pristine and O2 plasma-treated low-k films. At a lower electric field, the leakage current density increases with increasing the electric field (region I). Then, the leakage current density reaches a plateau without significant variation (region II). Finally, the leakage current density suddenly jumps, whose value is over 10−2 A/cm2. This electric field is defined as the dielectric breakdown electric field (region III). For O2 plasma-treated low-k dielectrics, a higher leakage current in region I, a longer duration in region II, and a lower breakdown electric field in region III were detected.

Figure 8.

(a) Leakage current density versus applied voltage for pristine and O2 plasma-treated low-k dielectrics. (b) Leakage current densities at 1 and 2 MV/cm and dielectric breakdown field of porous low-k dielectrics under various O2 plasma treatment conditions.

Figure 8(b) compares the leakage current densities at 1 MV/cm and 2 MV/cm and the breakdown electric field of the O2 plasma-treated low-k dielectrics with various treatment conditions. Similar to the result of k value change, a higher RF power, a longer treatment time, and a lower O2 flow rate can result in the largest increase of the leakage current density for O2 plasma-treated low-k dielectrics. A higher leakage current density also leads to a lower breakdown electric field for the O2 plasma-treated low-k dielectrics. The degrading electrical performance for O2 plasma-treated low-k dielectrics can be attributable to more absorption of moisture, which provides ionic conduction pathways by releasing mobile ions (H+, OH) [51].

Figure 9 compares the characteristic dielectric breakdown times (T63.2%) for O2 plasma-treated low-k dielectrics with various treatment conditions. The characteristic dielectric breakdown time was determined from Weibull distribution, representing the time as 63.2% of the sample failed [52]. The degradation in the characteristic dielectric breakdown time becomes serious with an increase of RF power and treatment time or a reduction of O2 flow rate. All process parameters in the O2 plasma process would degrade low-k dielectric properties. The RF power and the treatment time of O2 plasma treatment cause a more significant degradation.

Figure 9.

Characteristic dielectric breakdown times of porous low-k dielectrics under various O2 plasma treatment conditions (standard condition: RF power = 60 W; time = 60 s; oxygen flow = 100 sccm).

4.2.1.2. Low-k dielectric dependence

Four kinds of low-k dielectrics were treated by O2 plasma with various RF powers. The used low-k dielectrics were dense low-k (k = 3.02; called low-k_1), porogen low-k without UV (k = 2.92; called low-k_2) and with UV curing (2.56; called low-k_3), and porogen low-k with UV curing and followed by a RP H2/He plasma treatment (k = 2.48; called low-k_4).

Figure 10 compares the change percentage of the k value as a function of RF power in O2 plasma process for four different low-k films. The increasing magnitude is enlarged with an increase of RF power for all low-k dielectrics. The porogen-containing low-k dielectrics (low-k_2 and low-k_3) have a higher increase in the k value as compared to low-k_1. This suggests that porogen plays an important role for the low-k dielectrics under plasma irradiation. Furthermore, the highest increase in the k value is occurred in the low-k_2 (porogen-containing low-k film without UV curing). The UV irradiation on the low-k dielectrics not only removes the porogen to form pores but also strengthens the bonding strength of the low-k dielectrics [53]. Therefore, the resistance to O2 plasma damage can be reinforced. To enhance plasma resistance for porous low-k dielectrics, a RP H2/He plasma treatment seems to be a possible method to alleviate the increase in the k value upon O2 plasma process. The RP H2/He plasma treatment can form a densification layer on the low-k dielectric’s surface without damaging film’s properties. This formation densification layer can effectively resist O2 plasma damage and prevent active oxygen species to penetrate into the film. However, as the RF power further increases in O2 plasma treatment process, the difference in the k value in low-k_3 and low-k_4 becomes smaller. This implies that the post-remote H2/He plasma treatment on the porous low-k dielectric is becoming ineffective in preventing O2 plasma damage as a higher RF power. As the RF power is increased in O2 plasma treatment process, the more active oxygen species are produced, and these active species get more energy so as to penetrate the densification layer induced by remote H2/He plasma treatment into a deeper region within the low-k dielectric, causing the bonding breakage and reaction with moisture.

Figure 10.

Change percentage in dielectric constant of different low-k dielectrics as a function of RF power in O2 plasma treatment.

Figure 11 compares the degradation in the characteristic dielectric breakdown times relative to those of the pristine low-k dielectrics as a function of RF power. The stress electric field was 6.8 MV/cm for all low-k dielectrics. The reliability performance continuously degrades with RF power. Additionally, for the same RF power, the degradation order is low-k_2 > low-k_3 > low-k_4 > low-k_1. This means that porogen, rather than pore, within a low-k dielectric is a key issue to cause the reliability degradation under O2 plasma treatment. Since two phases (matrix and porogen) coexist in the low-k dielectrics, a weaker bonding strength can be deduced, resulting in a weaker resistance against O2 plasma damage. By means of UV irradiation or the post-deposition plasma treatment, using remote H2/He plasma to form a surface densification layer can alleviate the reliability degradation for the porous low-k dielectrics under O2 plasma treatment.

Figure 11.

Degradation in characteristic dielectric breakdown time of different low-k dielectrics as a function of RF power in O2 plasma treatment.

4.2.1.3. Plasma component dependence

A “roof” structure, consisting of a top optical mask, is designed to isolate the ions, photons, and radicals to reach the porous low-k dielectrics [54]. Si, MgF2, or CaF2 was used as a mask in this study. The height of the gap was fixed at 1 cm. Under O2 plasma treatment with different masks, the plasma species penetrating into the porous low-k dielectric through the gap is summarized in Table 2. For the porous low-k dielectric under plasma using various masks, the thickness reduction, the Si–CH3 group extraction, the Si–OH/H–OH bond formation, the top modification layer formation, the WCA value declination, the dielectric constant increment, and the dielectric breakdown field degradation were detected. The results indicate that all ions, photons, and radicals in the plasma cause negative impact on the porous low-k dielectrics, but they have different contributions. The maximum change is in the case of without mask. In Si mask case, the photons and the ions are blocked so that only oxygen radicals can react with the porous low-k dielectrics. Its plasma damage is less minor. In MgF2 mask or CaF2 mask, photons can penetrate but depends on the wavelength. With an addition of photon effect, the changes in the physical and electrical properties for the porous low-k dielectrics slightly increase. Furthermore, as ions are added in the plasma to react with the porous low-k dielectrics (without mask case), the changes become significant. This implies that the synergy between the radicals, the photons, and the ions in the plasma induces the highest degradation in the porous low-k dielectrics.

Table 2.

Change of physical and electrical characteristics of porous low-k dielectrics using different masks under O2 plasma treatment.

The plasma-induced damage mechanism is that the bonds inside the porous low-k dielectrics are broken by ion bombardment and then easily react with radicals to form the new bonds or Si–OH/H–OH bonds with a higher k value (~80). As for the contribution of photons, photons can weaken or broken the low-k dielectric’s bonds, assisting the chemical reaction of radicals. The photons with a higher energy cause more bonding breakage, inducing a more degradation. Therefore, a higher degradation in the porous low-k dielectric underneath MgF2 mask during O2 plasma treatment was detected due to extra photon transmission with 120–250 nm wavelength.

Figure 12 plots the characteristic dielectric breakdown times (T63.2%) versus the applied electric field for O2 plasma-treated low-k dielectrics with various masks. In a fixed electric field, the order of T63.2% is pristine > Si mask > MgF2 mask > CaF2 mask > without mask, indicating that all ions, photons, and radicals in the plasma cause the dielectric reliability degradation. For example, in an electric field of 6.8 MV/cm, the dielectric lifetime degradation ratios are 43.17, 66.41, and 82.18% for Si mask, MgF2 mask, and without mask cases, respectively, corresponding to radical, radicals + photon, and ions + photons + radical effects. By simple calculation, the contributions of radicals, photons, and ions were 43.17, 23.24, and 15.77%, respectively, indicating that radicals cause the greater degradation in the dielectric reliability than the other two plasma components. However, this finding is still needed to be demonstrated by more experiments which can be treated under the individual plasma component. The synergy between radicals, photons, and ions causes a considerable degradation. Getting rid of one or two components from the plasma environment is a workable strategy for the low-k dielectric’s reliability improvement.

Figure 12.

Characteristic dielectric breakdown time versus electric field for porous low-k dielectrics under O2 plasma treatment with various masks.

4.2.2. Hydrogen (H2)/helium (He) plasma damage

H2/He plasma treatments on the porous low-k dielectrics (k = 2.56) using CCP and RP systems at various operation temperatures (25–350°C) were investigated. The k value of porous low-k films after H2/He plasma treatment in CCP and RP systems as a function of the operation temperature is presented in Figure 13. An increased k was detected after H2/He plasma treatment in CCP system, and the increasing magnitude increased with increasing the operation temperature. However, as the operation temperature is raised above 250°C, the increasing rate of the k value tends to alleviate. This phenomenon can be explained by transforming Si–OH bonds to Si–O–Si bonds at an elevated temperature above 200°C. For porous low-k dielectrics treated by H2/He plasma in RP system, the increase in the k value was lower owing to a relatively small Si–CH3 depletion and Si–OH formation. Additionally, the k value was slightly reduced with an increase of the operation temperature. Furthermore, as the operation temperature is elevated to 350°C, the k value was reduced to be lower than 2.56. The result suggests that the effect of H2/He plasma treatment in RP system on porous low-k dielectrics turns to be positive by raising the operation temperature to 350°C. As a consequence, a “damage-free” resist strip processing can be obtained by using H2/He plasma treatment in RP chamber at elevated temperatures.

Figure 13.

Dielectric constant of H2/He plasma-treated low-k dielectrics operated in CCP and RP systems as a function of operation temperature.

Figure 14(a) and (b) shows the breakdown field and the dielectric breakdown time, respectively, of porous low-k dielectrics under H2/He plasma treatment in the CCP or RP systems at various operation temperatures. Both results indicate that H2/He plasma-treated low-k dielectrics in RP system exhibited a higher breakdown field and a longer breakdown time as compared to those in CCP system, indicating that deep UV light radiation and ion bombardment induced from H2/He plasma treatment in CCP system on the low-k dielectric can accelerate the degradation of reliability. The trends of temperature dependence of reliability characteristics were different for H2/He plasma treatments in the CCP and RP systems. The breakdown field and the breakdown time of H2/He plasma-treated low-k dielectrics in CCP system were decreased, while those in CCP system were improved as the operation temperature is raised. Furthermore, H2/He plasma-treated low-k dielectrics operated in CCP system displayed a strong temperature dependence of reliability, implying that the reaction induced by radicals is not enhanced by increasing the temperature. However, with the assistance of deep UV light radiation and ion bombardment, the reaction becomes stronger at a higher operation temperature. As the operation temperature of H2/He plasma treatment in RP system was raised to 350°C, the reliability performance of the plasma-treated low-k dielectrics exceeded that of the pristine samples. A better reliability for H2/He plasma-treated low-k films operated in RP system at 350°C can be attributable to another mechanism because the scission of Si–CH3 bonds was still detected although the decreasing ratio was reduced. H2/He plasma treatment on porous low-k dielectrics in RP system at evaluated temperatures reportedly removes carbon-based porogen residues, which are formed inside the porous low-k structure due to non-optimized incorporation of porogen molecules and non-optimized UV curing [55]. The removal of porogen residues from porous low-k dielectrics has also been demonstrated to promote reliability for low-k dielectrics. Therefore, H2/He plasma treatment on porous low-k dielectrics in RP system at 350°C efficiently removes porogen residues from porous low-k dielectrics, resulting in a better reliability.

Figure 14.

(a) Breakdown field. (b) Dielectric breakdown time of H2/He plasma-treated low-k dielectrics operated in CCP and RP systems as a function of operation temperature.

The mechanism about the reaction between H2 reactive plasma species and porous low-k dielectrics can be described as [56]:

SiCH3+2HSiH+CH4ΔHr=411KJ/moleE2
SiOSi+2HSiH+SiOHΔHr=325KJ/moleE3

where ΔHr is the estimated enthalpy. The reaction temperature of these two reactions is assumed to be 25°C. The negative enthalpies of reactions (2) and (3) represent that the reactions are exothermic and presumably occurred at room temperature [57]. Assuming that the amount of H atoms remains unchanged at an elevated temperature, these two reactions would become less favored with an increase of the reaction temperature according to Chatelier’s principle. Therefore, the scission of Si–CH3 and Si–O–Si groups should be stronger at a lower temperature. However, FT-IR analysis revealed that the losses of CH3 and Si–O–Si groups were higher for porous low-k dielectrics treated by H2/He plasma in CCP system at a higher temperature. The discrepancy can be explained by the fact that only H radical is considered to react with the low-k dielectric for reactions (2) and (3). However, H ions and VUV photons can be produced in H2/He plasma operated in CCP system. Due to the presence of H ions and VUV photons, the above two reactions become possible because the bonding energies of Si–CH3 and Si–O–Si bonds are weaken. Furthermore, at an elevated temperature, ions and photons can gain more energy and easily break Si–CH3 and Si–O–Si bonds, causing a violent response for reactions (2) and (3). In the case of H2/He plasma treatment in RP system, only H radicals can react with the porous low-k dielectric. According to FT-IR result, only Si–CH3group was found to reduce, and the concentration of Si–O–Si bond almost kept unchanged for H2/He plasma-treated low-k dielectrics, implying that reaction (2) is favored over reaction (3) because of a lower dissociation energy of Si–CH3 bond. Additionally, the reduction amount of Si–CH3 bond is relatively small and no temperature dependence effect, indicating that reaction (2) is relatively weak even at a higher temperature for H2/He plasma treatment in RP system.

In addition to the above reactions (2) and (3), H2 plasma can break Si–CH3 and Si–O–Si bonds to create Si dangling bonds. The subsequent air exposure makes these Si dangling bonds transform to Si–OH bonds. If OH- bonds are weak or physically bonded, dehydroxylation of Si–OH bonds can occur to form Si–O–Si bonds at a higher temperature [58]. This can be explained by the reduction of Si–OH bonds for H2/He plasma-treated low-k films operated at temperatures above 250°C.

4.2.3. Ammonia (NH3)/nitrogen (N2) plasma damage

The effect of the NH3/N2 ratio in plasma treatment on the porous low-k dielectrics (k = 2.56) was investigated. The reaction mechanism between the porous low-k dielectric and NH3/N2 plasma can be described as follows: in pure N2 gas plasma, only N, N2, and N2* active species are generated, and no hydrogen species is produced. Physical bombardment by N radicals is favorable, roughing the film’s surface. Moreover, the weak bonds in the low-k dielectric, such as Si–H, Si–CH3, and C–Hx bonds, can be broken by these active species in the plasma, forming Si–N and C–N bonds. As NH3 gas was added into the plasma, other active species in addition to the N, N2, and N2* active species, such as H, NH2, NH4, and N2H, may be generated. The Si–CH3 group in the low-k dielectric is broken to form Si dangling bonds. This dangling bond easily absorbs H or NH2 species to form Si–H or Si–NH2 bonds due to a lower reaction energy, which is thermodynamically favorable [59, 60, 61, 62]. The Si–H and Si–NH2 bonds are not stable in air and easily react with ambient air to form Si–OH, which is more hydrophobic and has a higher k value. As the portion of NH3 in the plasma increases, the number of H and NH2 active species increases accordingly. At the same time, the amount of the generated N, N2, and N2* active species is limited because more energy is required to generate these active species. These changes in the plasma result in the significant replacement of –CH3 groups by H and NH2 active species, the formation of more Si–OH bonds, and the reduction of Si–N and C–N bonds.

Figure 15 shows the changes in the k value of NH3/N2 plasma-treated low-k dielectrics upon O2 plasma treatment. After NH3/N2 plasma treatment, the k value of the plasma-treated low-k dielectrics increases. Under pure NH3 or pure N2 gas plasma treatment conditions, the increase is larger. This can be attributed to more formation of Si–OH bonds or Si–N/C–N bonds on the surface layer for pure NH3 or pure N2 gas plasma treatment, respectively. Treatment with O2 plasma increases the k values of all NH3/N2 plasma-treated low-k dielectrics by the replacement of Si–CH3 and Si–H bonds with Si–O bonds [63]. The increase in the k value becomes larger with the NH3/N2 gas ratio. The pure N2 gas plasma-treated sample exhibits a smaller increase in the k value owing to the formation of protective Si–N/C–N layer. This layer suppresses the penetration of oxygen radical into the low-k dielectric.

Figure 15.

Change in dielectric constant of porous low-k dielectrics after NH3/N2 and O2 plasma treatments.

Figure 16(a) plots the measured dielectric breakdown fields of NH3/N2 plasma-treated low-k dielectrics. All NH3/N2 plasma-treated samples had a poorer dielectric breakdown performance than the pristine low-k dielectric. Moreover, the breakdown field of the NH3/N2 plasma-treated low-k dielectrics decreases as the NH3/(N2 + NH3) ratio increases. The low-k dielectric that was plasma treated with pure NH3 gas has the lowest breakdown field and the highest leakage current.

Figure 16.

(a) Breakdown field. (b) Dielectric breakdown time as a function of electric field of porous low-k dielectrics after various NH3/N2 plasma treatments.

Figure 16(b) compares T63.2% values as a function of applied electric field for the pristine and plasma-treated low-k dielectrics. All plasma-treated samples had shorter dielectric breakdown times and a wider distribution as compared to the pristine low-k dielectric. The reduction of the dielectric breakdown time may be caused by an accumulation of defects owing to plasma-induced damage. Furthermore, the reductions in the dielectric breakdown time were significant in stronger stressing electric fields. Additionally, the T63.2% values of plasma-treated low-k dielectrics decreased as the NH3/(N2 + NH3) ratio increased, which is correlated well with the moisture contents in the plasma-treated dielectrics. This indicates that the moisture content in a low-k dielectric plays an important role in reducing the dielectric breakdown time. The low-k dielectric that was plasma treated with pure N2 gas had the longest low-k dielectric because the formed amide-like or nitride-like layers on the surface retard low-k dielectric breakdown.

Advertisement

5. Conclusions

Low-k dielectric materials are essential for RC delay reduction to improve the performance of ICs. However, plasma-induced damage on the low-k dielectric materials during Cu/low-k interconnects fabrication is a critical issue to influence the low-k integrity. Plasma damage on the low-k dielectrics is a complicated phenomenon involving physical and chemical reactions. The resulting main negative impact is an increased dielectric constant due to water adsorption after plasma irradiation on the low-k dielectrics. The plasma damage depends on the used low-k dielectrics, plasma gases and conditions, and reactors. Therefore, the optimization in the plasma process is required to minimize the plasma damage. Additionally, the damaged low-k dielectrics can be recovered by the removal of adsorbed water. This turns the hydrophilic materials to be hydrophobic. Currently, complete recovery cannot be obtained; consequently, this area requires a lot of effort to make in the future.

References

  1. 1. Thompson SE, Parthasarathy S. Moore’s law: The future of Si microelectronics. Materials Today. 2006;9:20-25
  2. 2. Bohr M. MOS transistors: Scaling and performance trends. Semiconductor International. 1995;18(6):75-80
  3. 3. Isaac RD. The future of CMOS technology. IBM Journal of Research and Development. 2000;44:369-378
  4. 4. Dixit GA, Havemann RH. Overview of Interconnect—Copper and Low-k Integration, Handbook of Semiconductor Manufacturing Technology. 2nd ed. NY: CRC Press; 2008 (Chapter 2)
  5. 5. Havemann RH, Hutchby JA. High-performance interconnects: An integration overview. Proceedings of the IEEE. 2001;89:586-601
  6. 6. Plummer JD, Deal MD, Griffin PB. Silicon VLSI Technology. Upper Saddle River, NJ: Prentice Hall; 2000
  7. 7. Jeffery G. Process Technology for Copper Interconnects, Handbook of Thin Film Deposition. 3rd Edition, William Andrew; 2012. pp. 221-269
  8. 8. Grill A, Gates SM, Ryan TE, Nguyen SV, Priyadarshini D. Progress in the development and understanding of advanced low k and ultralow k dielectrics for very large-scale integrated interconnects – State of the art. Applied Physics Reviews. 2014;1:011306-011312
  9. 9. Grill A. Porous pSiCOH ultralow-k dielectrics for chip interconnects prepared by PECVD. Annual Review of Materials Research. 2009;39:49-69
  10. 10. Grill A. Plasma enhanced chemical vapor deposited SiCOH dielectrics: From low-k to extreme low-k interconnect materials. Journal of Applied Physics. 2003;93:1785-1790
  11. 11. Kriz J, Angelkort C, Czekalla M, Huth S, Meinhold D, Pohl A, et al. Overview of dual damascene integration schemes in Cu BEOL integration. Microelectronic Engineering. 2008;85:2128-2132
  12. 12. Zhang L, Ljazouli R, Lefaucheux P, Tillocher T, Dussart R, Mankelevich YA, de Marneffe J-F, de Gendt S, Baklanov MR. Low damage cryogenic etching of porous organosilicate low-k materials using SF6/O2/SiF4. ECS Journal of Solid State Science and Technology. 2013;2(6):N131-N139
  13. 13. Baklanov MR, de Marneffe J-F, Shamiryan D, Urbanowicz AM, Shi H, Rakhimova TV, Huang H, Ho PS. Plasma processing of low-k dielectrics. Journal of Applied Physics. 2013;113:041101-1-041101-35
  14. 14. Kazi H, Kelber JA. Plasma damage mechanisms in low k organosilicate glass and their inhibition by Ar ion bombardment. Journal of Vacuum Science and Technology B. 2010;32(2):021302-1-021302-7
  15. 15. Kunnen E, Barkema GT, Maes C, Shamiryan D, Urbanowicz A, Struyf H, Baklanov MR. Integrated diffusion–recombination model for describing the logarithmic time dependence of plasma damage in porous low-k materials. Microelectronic Engineering. 2011;88:631-634
  16. 16. Maex K, Baklanov MR, Shamiryan D, Iacopi F, Brongersma SH, Yanovitskaya ZS. Low dielectric constant materials for microelectronics. Journal of Applied Physics. 2003;93:8793-8841
  17. 17. Kim CY, Navamathavan R, Lee HS, Woo JK, Hyun MT, Lee KM, Jeung WY, Choi CK. Ultraviolet irradiation effect on the properties of leakage current and dielectric break-down of low-dielectric-constant SiOC(H) films using comb capacitor structure. Thin Solid Films. 2011;519:6732-6736
  18. 18. Broussous L, Berthout G, Rebiscoul D, Rouessac V, Ayral A. Mechanical properties of a plasma-modified porous low-k material. Microelectronic Engineering. 2010;87:466-469
  19. 19. Cheng YL, Wang YL, Lan JK, Chen HC, Lin JH, Wu YL, Liu PT, Wu YC, Feng MS. Effect of carrier gas on the structure and electrical properties of low dielectric constant SiCOH film using trimethylsilane prepared by plasma enhanced chemical vapor deposition. Thin Solid Films. 2004;469:178-183
  20. 20. Chang YM, Chang WY, Leu J, Cheng YL. Effect of thermal treatment on physical, electrical properties and reliability of porogen-containing and porogen-free ultralow-k dielectrics. Thin Solid Films. 2013;528:67-71
  21. 21. Cheng YL, Wang YL, Liu CW, Wu YL, Lo KY, Liu CP, Lan JK. Characterization and reliability of low dielectric constant fluorosilicate glass and silicon rich oxide process for deep sub-micro device application. Thin Solid Films. 2001;398-399:544-548
  22. 22. Shapiro MJ, Nguyen SV, Matsuda T, Dobuzinsky D. CVD of fluorosilicate glass for ULSI applications. Thin Solid Films. 1995;270:503-507
  23. 23. Lubguban Jr J, Rajagopalan T, Mehta N, Lahlouh B, Simon SL, Gangopadhyaya S. Low-k organosilicate films prepared by tetravinyltetramethylcyclotetrasiloxane. Journal of Applied Physics. 2002;92:1033-1038
  24. 24. Gates SM, Neumayer DA, Sherwood MH, Grill A, Wang X, Sankarapandian M. Preparation and structure of porous dielectrics by plasma enhanced chemical vapor deposition. Journal of Applied Physics. 2007;101:094103-1-094103-8
  25. 25. Chapelon LL, Arnal V, Broekaart M, Gosset LG, Vitiello J, Torres J. Porous ultra low k deposited by PECVD: From deposition to material properties. Microelectronic Engi-neering. 2004;76:1-4
  26. 26. Ming Z, Beichao Z. Preparation of porous ultra low k films using different sacrificial porogen precursors for 28 nM technological node. Materials Science in Semiconductor Processing. 2015;36:170-178
  27. 27. Dultsev FN, Nekrasov DV. Transformation of porous structure under vacuum ultraviolet irradiation of the films based on silicon dioxide. Thin Solid Films. 2016;603:249-254
  28. 28. Vanstreels K, Ciofi I, Barbarin Y, Baklanov M. Influence of porosity on dielectric breakdown of ultralow-k dielectrics. Journal of Vacuum Science and Technology B. 2013;31:050604-1-050604-5
  29. 29. Amanatides E, Mataras D. Frequency variation under constant power conditions in hydrogen radio frequency discharges. Journal of Applied Physics. 2001;89:1556-1566
  30. 30. Perret A, Chabert P, Jolly J, Booth J-P. Ion energy uniformity in high-frequency capacitive discharges. Applied Physics Letters. 2005;86:021501-1-021501-3
  31. 31. Rakhimova TV, Braginsky OV, Ivanov VV, Kim TK, Kong JT, Kovalev AS, Lopaev DV, Mankelevich YA, Proshina OV, Vasilieva AN. Experimental and theoretical study of rf plasma at low and high frequency. IEEE Transactions on Plasma Science. 2006;34:867-877
  32. 32. Smirnov E, Ferchichi AK, Huffman C, Baklanov MR. Impact of plasma exposure on organic low-k materials. Proceedings of SPIE. 2010;75217:52107-1-52107-8
  33. 33. Pantouvaki M, Huffman C, Zhao L, Heylen N, Ono Y, Nakajima M, Nakatani K, Beyer GP, Baklanov MR. Advanced organic polymer for the aggressive scaling of low-k materials. Japanese Journal of Applied Physics. 2011;50:04DB01-1-04DB01-5
  34. 34. Havemann RH, Antonelli GA, Arendt GK, Danek M, McKerrow AJ, Weinberg RS. Copper BEOL Solutions for Advanced Memory, Solid-State Technol. Vol. 312009. pp. 10-13
  35. 35. Takei S. Resist poisoning studies of gap fill materials for patterning metal trenches in via-first dual damascene process. Japanese Journal of Applied Physics. 2008;47:8766-8770
  36. 36. Noguchi J, Ohashi N, Jimbo T, Yamaguchi H, Takeda K, Hinode K. Effect of NH3-plasma treatment and CMP modification on TDDB improvement in Cu metallization. IEEE Transactions on Electron Devices. 2001;48:1340-1345
  37. 37. Lin MH, Lin YL, Chen JM, Yeh M-S, Chang KP, Su KC, Wang T. Electromigration lifetime improvement of copper interconnect by cap/dielectric interface treatment and geometrical design. IEEE Transactions on Electron Devices. 2005;52(12):2602-2608
  38. 38. Baklanov MR, Vanhaelemeersch S, Bender H, Maex K. Effects of oxygen and fluorine on the dry etch characteristics of organic low-k dielectrics. Journal of Vacuum Science and Technology B. 1999;17:372-379
  39. 39. Cheng YL, Lin BH, Huang SW. Effect of O2 plasma treatment on physical, electrical, and reliability characteristics of low dielectric constant materials. Thin Solid Films. 2014;572:44-50
  40. 40. Worsley MA, Bent SF, Gates SM, Fuller NCM, Volksen W, Steen M, Dalton T. Effect of plasma interactions with low-k films as a function of porosity, plasma, chemistry, and temperature. Journal of Vacuum Science and Technology B. 2005;23(2):395-405
  41. 41. Han QY, White B, Berry IL, Waldfried C, Escorcia O. Activated he:H2 strip of photoresist over porous low-k materials. Solid State Phenomena. 2005;103-104:341-345
  42. 42. Lionti K, Volksen W, Magbitang T, Darnon M, Dubois G. Toward successful integration of porous low-k materials: Strategies addressing plasma damage. ECS Journal of Solid State Science and Technology. 2015;4(1):N3071-N3083
  43. 43. Urbanowicz AM, Baklanov MR, Heijlen J, Travaly Y, Cockburn A. Damage reduction and sealing of low-k films by combined he and NH3 plasma treatment. ECS Journal of Solid State Science and Technology. 2007;10(10):G76-G79
  44. 44. Worsley MA, Bent SF, Gates SM, Fuller NCM, Volksen W, Steen M, Dalton T. Journal of Vacuum Science & Technology. 2005;B23:395-405
  45. 45. Grill A, Neumayer DA. Structure of low dielectric constant to extreme low dielectric constant SiCOH films: Fourier transform infrared spectroscopy characterization. Journal of Applied Physics. 2003;94(10):6697-6707
  46. 46. Jousseaume V, Zenasni A, Gourhant O, Favennec L, Baklanov MR. In: Baklanov MR, Ho P, Zschech E, editors. Ultra-low-k by CVD: Deposition and Curing. Advanced Inter-connects for ULSI Technology. Wiley; 2012. pp. 35-77. (Chapter 2)
  47. 47. Urbanowicz AM, Shamiryan D, Zaka A, Verdonck P, De Gendt S, Baklanov MR. Effects of He plasma pretreatment on low-k damage during Cu surface cleaning with NH3 plasma. Journal of the Electrochemical Society. 2010;157(5):H565-H573
  48. 48. Cheng YL, Huang JF, Chang YM, Leu J. Impact of plasma treatment on structure and electrical properties of porous low dielectric constant SiCOH material. Thin Solid Films. 2013;544:537-540
  49. 49. Cheng YL, Tsai YS, Hung WJ, Sun CR, Lee WH. Effect of H2/He plasma on porous low dielectric constant materials. Surface and Coatings Technology. 2016;38:182-188
  50. 50. Huang JF, Bo TC, Chang WY, Chang YM, Leu J, Cheng YL. Effect of NH3/N2 ratio in plasma treatment on porous low dielectric constant SiCOH materials. Journal of Vacuum Science and Technology A. 2014;32(3):031505-031510
  51. 51. Michelon J, Hoofman RJOM. Moisture influence on porous low-k reliability. IEEE Transactions on Device and Materials Reliability. 2006;6:169-174
  52. 52. McPherson JW. Time dependent dielectric breakdown physics–models revisited. Microelectronics and Reliability. 2012;52:1753-1760
  53. 53. Kemeling N, Matsushita K, Tsuji N, Kagami KI, Kato M, Kaneko S, Sprey H, Roest DD, Kobayashi N. A robust k ~2.3 SiCOH low-k film formed by porogen removal with UV-cure. Microelectronic Engineering. 2007;84:2575-2581
  54. 54. Shi H, Huang H, Bao J, Liu J, Ho PS, Zhou Y, Pender JT, Armacost MD, Kyser D. Role of ions, photons, and radicals in inducing plasma damage to ultra low-k dielectrics. Journal of Vacuum Science and Technology B. 2012;30:011206-1-011206-1, 011206-9
  55. 55. Urbanowicz AM, Vanstreels K, Shamiryan D, De Gendt S, Baklanov MR. Effect of porogen residue on chemical, optical, and mechanical properties of CVD SiCOH low-k materials. Electrochemical and Solid-State Letters. 2009;12:H292-H295
  56. 56. Nichols MT, Sinha H, Wiltbank CA, Antonelli GA, Nishi Y, Shohet JL. Time-dependent dielectric breakdown of plasma-exposed porous organosilicate glass. Applied Physics Letters. 2012;100:112905-1-112905-4
  57. 57. Dubois C, Sylvestre A, Chaabouni H, Farcy A. Impact of the CMP process on the electrical properties of ultra low k porous SiOCH. Microelectronic Engineering. 2010;87:333-336
  58. 58. Cheng YL, Leon KW, Huang JF, Chang WY, Chang YM, Leu J. Effect of moisture on electrical and reliability properties for low dielectric constant materials. Microelectronic Engineering. 2014;114:12-16
  59. 59. Worsley MA, Bent SF, Gates SM, Fuller NCM, Volksen W, Steen M, Dalton T. Effect of plasma interactions with low-κ films as a function of porosity, plasma chemistry, and temperature. Journal of Vacuum Science and Technology B. 2005;23:395-405
  60. 60. Liu X, Gill S, Tang F, King SW, Nemanich RJ. Remote H2/N2 plasma processes for simultaneous preparation of low-k interlayer dielectric and interconnect copper surfaces. Journal of Vacuum Science and Technology B. 2012;30:031212-1-031212-9
  61. 61. Posseme N, Chevolleau T, David T, Darnon M, Louveau O, Joubert O. Mechanisms of porous dielectric film modification induced by reducing and oxidizing ash plasmas. Journal of Vacuum Science and Technology B. 2007;25:1928-1940
  62. 62. Yamamoto H, Takeda K, Ishikawa K, Ito M, Sekine M, Hori M, Kaminatsui T, Hayashi H, Sakai I, Ohiwa T. H2/N2 plasma damage on porous dielectric SiOCH film evaluated by in situ film characterization and plasma diagnostics. Journal of Applied Physics. 2011;11:123301
  63. 63. Chen W, Han Q, Most R, Waldfried C, Escorcia O, Berry I. Plasma impacts to an O-SiC low-k barrier film. Journal of the Electrochemical Society. 2004;151:F182-F188

Written By

Yi-Lung Cheng, Chih-Yen Lee and Chiao-Wei Haung

Reviewed: 13 June 2018 Published: 05 November 2018