Next Article in Journal
Thin Functional Polymer Films by Electropolymerization
Next Article in Special Issue
Colloidal Self-Assembly of Inorganic Nanocrystals into Superlattice Thin-Films and Multiscale Nanostructures
Previous Article in Journal
Synthesis of Three-Dimensional Graphene-Based Hybrid Materials for Water Purification: A Review
Previous Article in Special Issue
Conformational Effects of Pt-Shells on Nanostructures and Corresponding Oxygen Reduction Reaction Activity of Au-Cluster-Decorated NiOx@Pt Nanocatalysts
 
 
Font Type:
Arial Georgia Verdana
Font Size:
Aa Aa Aa
Line Spacing:
Column Width:
Background:
Article

Resistive Switching Characteristics of HfO2 Thin Films on Mica Substrates Prepared by Sol-Gel Process

School of Physics & Optoelectric Engineering, Guangdong University of Technology, Guangzhou Higher Education Mega Center, Guangzhou 510006, China
*
Author to whom correspondence should be addressed.
Nanomaterials 2019, 9(8), 1124; https://doi.org/10.3390/nano9081124
Submission received: 15 July 2019 / Revised: 30 July 2019 / Accepted: 2 August 2019 / Published: 4 August 2019

Abstract

:
The resistive switching (RS) characteristics of flexible films deposited on mica substrates have rarely been reported upon, especially flexible HfO2 films. A novel flexible Au/HfO2/Pt/mica resistive random access memory device was prepared by a sol-gel process, and a Au/HfO2/Pt/Ti/SiO2/Si (100) device was also prepared for comparison. The HfO2 thin films were grown into the monoclinic phase by the proper annealing process at 700 °C, demonstrated by grazing-incidence X-ray diffraction patterns. The ratio of high/low resistance (off/on) reached 1000 and 50 for the two devices, respectively, being relatively stable for the former but not for the latter. The great difference in ratios for the two devices may have been caused by different concentrations of the oxygen defect obtained by the X-ray photoelectron spectroscopy spectra indicating composition and chemical state of the HfO2 thin films. The conduction mechanism was dominated by Ohm’s law in the low resistance state, while in high resistance state, Ohmic conduction, space charge limited conduction (SCLC), and trap-filled SCLC conducted together.

Graphical Abstract

1. Introduction

Resistive random access memory (RRAM) is a kind of memory in which, according to the different voltage applied to the metal oxide, the resistance of the material changes correspondingly between the high resistance state (HRS) and the low resistance state (LRS), so as to open or block the current flow channel and use this property to store various information [1]. RRAM can significantly increase durability and data transmission speed compared with flash memory devices. The main factor affecting the performance of RRAM is the RS layer, and the performance of different RS layers varies greatly. A variety of materials can be applied as the resistive switching layers of RRAM, such as HfO2, SnWO4, ZrO2, and CuO [2,3,4,5,6], among which binary metal oxides like HfO2 are widely regarded as the most promising resistive switching layer [1,7]. The conduction mechanisms of RRAM have been studied in depth, among which Ohmic conduction, Schottky emission, space-charge-limited conduction (SCLC), and trap-assisted tunneling are the most popular [1,8,9,10,11]. The conductive filament (CF) model has also been one of the most recognized models [8]. With the development of science and technology, flexible memory has also been extensively studied in the past decade [12,13]. Due to the advantages of their being inexpensive and lightweight, flexible memristors are more widely used than non-flexible devices such as disposable sensors [14] or indenofluorene-based monomers [15].
Although flexible electronic devices have promising applications in wearable devices, few papers have reported on the RS characteristics of flexible films deposited on mica substrates [16,17,18]. Mica substrates are cheap, easy to prepare, and satisfy the demands of industrial production, which makes them an excellent candidate for preparing flexible RRAM substrates. In this paper, HfO2 thin films were grown on flexible mica substrates by the sol-gel method. For comparison of different substrates, HfO2 films were also deposited on Pt/Ti/SiO2/Si (100) substrates. As a kind of ordinary semiconductor compound, HfO2 film has a high dielectric constant and desirable light transmittance with a simple preparation [19]. Due to its thermal stability and excellent retention performance [2,20,21,22], HfO2 has been widely studied in the field of RRAM in recent years [23], and is one of the most promising candidates for the resistive switching layer. The results show that the ratio of HRS to LRS exceeded 100 in the HfO2-based-non-flexible structure, with excellent stability. In contrast to non-flexible resistive switching, the HfO2-based flexible structure demonstrated a pretty good resistive switching characteristic, but its endurance was inferior to non-flexible resistive switching. This HfO2-based flexible device has a simple preparation method (sol-gel), inexpensive cost, and excellent flexibility not existing in an HfO2-based-non-flexible structure, which conforms to the developing requirements of our time for flexible RRAM.

2. Materials and Methods

Using the sol-gel method for coating, a certain amount of hafnium acetone was weighed as the raw material, the magnetic stirrer was used to dissolve it in acetic acid until a colloid formed, the hafnium acetone colloid was spirally coated onto the different substrate by a rotary coating machine and then placed on a drying platform. The drying platform was heated from room temperature to 300 °C for 10 min, which decomposed hafnium acetone into HfO2 at high temperature. In this paper, there were two samples of different substrates, HfO2/Pt/Ti/SiO2/Si and HfO2/Pt/mica flexible structures. For further discussion, the structures of HfO2/Pt/Ti/SiO2/Si and HfO2/Pt/mica are abbreviated as S1 and S2, respectively, as shown in Figure 1. Both S1 and S2 were annealed at 700 °C in air atmosphere for 30 min. After annealing, an Au point electrode with diameter of 0.5 mm was plated on the sample using a small high-vacuum coating machine and a mask template with diameter of 0.5 mm at room temperature for two min to form a top–bottom (TB) electrode structure.
Current–voltage (I-V) and endurance characteristics were measured by the Keithley 2400 s instrument. Atomic force microscopy (AFM) showed the surface morphology of the film, and field emission scanning electron microscopy (FESEM) could clearly observe the thickness of the HfO2 thin film and the layers between substrate and film. Additionally, the phase structures of HfO2 films were analyzed by grazing-incidence X-ray diffraction (GIXRD) with an incident angle of 1°. Moreover, X-ray photoelectron spectroscopy (XPS) analyses of the HfO2 thin films were carried out using an Escalab 250Xi X-ray photoelectron spectrometer.

3. Results and Discussion

It can be seen from Figure 2a,b that the grain size of the HfO2 thin films after annealing was relatively small, which was due to the low annealing temperature and short annealing time. The SEM cross-sectional views of S1 and S2 show a dense layer of HfO2 with a thickness of ~200 nm, and a dense Pt layer with a thickness of ~100 nm can be seen in all cases, as shown in Figure 2c,d. Additionally, the density and adhesion of HfO2 on a typical Pt substrate were better than that on a flexible substrate. Figure 3 indicates the GIXRD patterns of the HfO2 films grown on two different devices. As can be seen from Figure 3, the HfO2 thin films had high crystallinity—a polycrystalline (100), (110), (111), (111), (200), and (220) oriented monoclinic phase structure [24,25]. Additionally, the PDF#78-0050 of the HfO2 monoclinic phase is inserted in Figure 3 to better identify the XRD peak of the HfO2 film. HfO2 with a monoclinic phase structure can accumulate oxygen vacancies [26]. The relatively small GIXRD peak intensity shows the smaller grain size of the HfO2 thin films, corresponding to the results of the SEM and AFM analyses. Additionally, a Pt (111) oriented peak existed in the S1 device.
As shown in Figure 4a,c, Hf 4f core levels of HfO2 thin films layers in all cases were deconvoluted into two Gaussian peaks (16.7 eV for Hf 4f5/2 and 18.3 eV for Hf 4f7/2, indicated by the red line and green line, respectively) [27,28,29]. Figure 4b,d shows XPS spectra of the O 1 s core levels of the HfO2 thin films layers in all cases. Obviously, the Gaussian peak with a binding energy of 529.7eV was defined as lattice oxygen (Ol), corresponding to the oxygen in the HfO2 matrix; the other, with a binding energy of 531.5eV, was defined as defect oxygen (Od), caused by the defects of oxygen vacancies in the HfO2 thin film layers. Previous research has indicated the higher the intensity of Od, the higher the concentration of oxygen vacancy [5]. The ratio of Hf/Ol in all devices was ~2, signifying the existence of HfO2 [30,31]. Furthermore, the ratio of Ol/Od in S1 devices (0.32) was larger than that of S2 devices (0.25) and the ratio of Od in S1 devices to that in S2 devices was 0.82, resulting in the difference of HRS/LRS ratio between the two devices, which was consistent with I-V characteristics.
Figure 5a,b shows the excellent resistance switching behaviors of the S1 and S2 structures. It is apparent that the Vset and Vreset of the S1 devices were 0.7 V and −0.5 V respectively, while the Vset and Vreset of the S2 devices were 0.7 V and −0.7 V respectively [22,32]. In addition, because the grain size of HfO2 for S2 is larger than that for S1, based on the FESEM patterns (Figures S2 and S3), the switching currents of the S2 device were much larger than those of the S1 device. When the applied bias increased from 0 V to 0.7 V, both devices remain “off” (HRS). The device will be converted to LRS if the voltage reaches 0.7 V (Vset). Subsequently, with a voltage loop of 0.7 V to 1 V to −0.7 V for S2 (0.7 V to 1 V to −0.5 V for S1), the device will always stay in “on” (LRS). When the voltage reaches −0.7 V (−0.5 V for S1) for the first time, the device will immediately be reset to “off” (HRS), and remain HRS all the way up to 0 V. The turn-on slope of S1 was calculated as 0.3 V/decade and was almost equal to that of S2, which depicted a switching speed in S2 consistent with S1; the ratio of HRS and LRS for the S1 device (~100) was greater than that of S2 device (~50), which also indicates that the S1 device had better switching characteristics than the S2 device. Additionally, resistive switching characteristics with 100 sweep cycles are depicted in Figure 2c,d. It can be seen clearly that the HRS/LRS ratio of S2 device gradually decreased from the 50th cycle; by contrast, the HRS/LRS ratio of the S1 device was almost stable when a forward bias was applied. From the results above, the device formed on the flexible substrate had the characteristics of typical RRAM. Figure 6 shows a stable resistance state (LRS/HRS) of the S1 device, with a reading voltage of 0.2 V for 100 sweep cycles at room temperature. The fitting linear curves in Figure 6a exhibit a stable off/on ratio for S1 RRAM devices, starting at 1000 times, slowly falling to 100 times, and then leveling off. However, as can be seen from Figure 6b, the S2 devices exhibited poor endurance characteristics, with rapid fatigue from 50 times to 10 times followed by leveling off. For the sake of illustrating the variation in HRS resistance and LRS resistance, Figure 6c,d compares the cumulative probability plots of HRS and LRS for the two devices at a reading voltage of 0.2 V. Compared to the S2 device, the S1 device exhibited a stable distribution of off/on resistance [33]. From the above analysis, the performance of S2 device was not as good as that of the S1device. In order to better illustrate the poor fatigue characteristics of S1 devices, repeatability tests are also conducted, as is shown in Figure S1. This demonstrates the shortcoming of mica-based devices that must be improved upon but cannot be at present.
Figure 7 indicates that Ohmic conduction (I is proportional to V) and SCLC (I is proportional to V2) were the main conduction mechanisms. The current density of SCLC can be depicted as following [1]:
J S C L C = 9 8 μ ε V 2 d 3
where ε is the permittivity of the film, μ is the electron mobility, V is the voltage, and d is the thickness of the film. Furthermore, it can be reasonably inferred that the conductive mechanism is dominated by trap-filled SCLC (indicated by the green line) when the forward bias is more than 0.7 V. The current density of trap-filled SCLC can be depicted as following [1]:
J T F S C L C = q 1 l μ N ( 2 l + 1 l + 1 ) l + 1 ( l l + 1 ε r ε 0 N t ) l V l + 1 d 2 l + 1
where q, l, μ, εr, ε0, Nt, N, V, and d are the elemental charge, the ratio of the characteristic temperature of the trap distribution to the operating temperature, the carrier mobility, the permittivity of the film, the permittivity of free space, the trap density, the density of state in the conduction band or valence band, the applied voltage, and the film thickness, respectively.
The logI versus logV plots have been fitted linearly to analyze the conduction mechanisms of S1 and S2 devices comprehensively. Figure 7a,b exhibits four different slope regions for S1 and S2 devices in positive sweeps, which represent three different conduction mechanisms: Ohmic conduction (slope = 1), SCLC (slope = 2), and trap-filled SCLC (slope > 2). The conduction mechanism of the S1 device was consistent with S2 device, which transferred from Ohmic conduction to SCLC at 0.4 V for the S1 device and 0.5 V for the S2 device, and then to trap-filled SCLC at 0.7 V for all cases. According to the SCLC mechanism, the electron trap is conceived as an oxygen vacancy, and the resistance slowly decreases as the oxygen vacancy filled with electrons, according to Child’s law. However, when the oxygen vacancy is brimming with electrons, the latter will flow past the conduction band, so that the devices will be switched from HRS to LRS [34]. Note that the slope of LRS was almost equal to 1 for all devices, indicating the formation of CF. For the S1 devices in negative sweeps, the Ohmic mechanism ran through the LRS and HRS, as is shown in Figure 7c, while for S2 devices in negative sweeps, it can be clearly observed that the slope was 2.15 for voltage ranges from −1 V to −0.7 V, demonstrating that the CF formed by oxygen vacancies was broken, resulting in reset of resistance state from LRS to HRS. At the same time, the electrons were quickly disengaged from the oxygen vacancy. In conclusion, the conduction mechanism was dominated by Ohmic conduction in LRS, while in HRS, the Ohmic conduction and SCLC conducted together.
According to the analysis of XPS spectra and conduction mechanism, the CF caused by oxygen vacancy dominated the resistance switching mechanism [5,35]. As shown in Figure 8, a typical CF model has been proposed to better illustrate the influence of Od. A large number of defects caused by oxygen vacancies exist in HfO2 thin film layers, distributing randomly in the thin film layer and the interface layer without biased voltage, corresponding to the HRS depicted in Figure 8a, which is consistent with the HRS at zero voltage shown in Figure 5a,b. When a forward bias (<0.4 V for S1 devices, <0.5 V for S2 devices) was applied to the device, the conduction mechanism obeyed Ohm’s law. The trap was gradually filled by injected electrons as the applied voltage increased (0.4 V–0.7 V for S1 devices, 0.5–0.7 V for S2 devices), the CF formed, as shown in Figure 8b, and the conduction mechanism was dominated by Child’s law (SCLC). At this time, it corresponded to the HRS of the positive bias voltage (0–0.7 V) in Figure 5a,b. Due to the action of the electric field force, the oxygen ions drifted upward and accumulated at one end of the top electrode, forming a conductive bridge via these oxygen vacancies, while the CF built by oxygen vacancies connected the top and bottom electrodes, resulting in the SET process, as shown in Figure 8c [32,36]. It can also be seen from Figure 5a,b that when the forward voltage was greater than 0.7 V for the first time, the CF was formed, and the RS converted from HRS to LRS. When the voltage loop dropped from 1 V to −0.5 V, the RS remained “on” (LRS), as shown in Figure 5a,b, which is consistent with Figure 8c. Meanwhile, the conduction mechanism was controlled by Ohmic conduction for the existence of CF. Figure 8d exhibits that as the reverse bias was applied to the device, the oxygen ions drifted downward and then combined with the oxygen vacancy, resulting in the rupture of the CF. Combined with the analysis in Figure 5a,b, when the reverse bias voltage reached a certain value (−0.5 V for S1, −0.7 V for S2), the CF completely ruptured, resulting in an instant reset from LRS to HRS. Subsequently, the RS was always off (HRS) while the voltage loop went from −0.7 V to −1 V to 0 V for S2 or from −0.5 V to −1 V to 0 V for S1. The formation and rupture of the CF perfectly explains the principle of resistance switching, which is consistent with the conductive mechanism and I-V characteristics.

4. Conclusions

In summary, an Au/HfO2/Pt/Ti/SiO2/Si device and an Au/HfO2/Pt/mica device were fabricated by the sol-gel method. As a popular research material, the S1 device structure has been thoroughly studied. At present, the breakthrough point was whether the HfO2 with a flexible structure would have the same performance as the typical device. Herein, quite a few advantages and disadvantages of flexible HfO2 devices have been identified by analyzing the differences between the S1 and S2 devices. The Od intensity of XPS spectra for the S2 device was lower than for the S1 device, which indirectly illustrates that the HRS/LRS ratio of the S2 device was lower. Meanwhile, the I-V characteristic also demonstrated the difference in off/on ratio. Nevertheless, HRS/LRS ratio of the S2 device also reached 50, which is enough to illustrate the potential application of flexible HfO2 device and that they are worth further study. For the Au/HfO2/Pt/mica device, the conduction mechanism was dominated by Ohmic conduction in LRS, and Ohmic conduction and SCLC conduction together in HRS. There is no doubt that the CF model can perfectly illustrate this conduction mechanism. The potential problem is the poor fatigue characteristics of the HfO2-mica-based RRAM, which cannot be solved at present, but we hope to solve effectively in the future.

Supplementary Materials

The following are available online at https://www.mdpi.com/2079-4991/9/8/1124/s1, Figure S1: Current–Voltage plots of repeated samples, Figure S2: The FESEM pattern of the surface for S1 device, Figure S3: The FESEM pattern of the surface for S2 device.

Author Contributions

Conceptualization, C.-F.L. and X.-G.T.; Data curation, C.-F.L.; Formal analysis, C.-F.L., L.-Q.W. and H.T.; Funding acquisition, X.-G.T.; Investigation, C.-F.L.; Methodology, X.-G.T.; Project administration, X.-G.T.; Resources, Y.-P.J., Q.-X.L., W.-H.L. and Z.-H.T.; Writing—original draft, C.-F.L.; Writing—review & editing, C.-F.L., X.-G.T., L.-Q.W. and H.T.

Funding

This research was funded by “the National Natural Science Foundation of China (Grant Nos. 11574057, 51604087and51702055)”, “the Guangdong Provincial Natural Science Foundation of China (Grant No. 2016A030313718)”, and “the Science and Technology Program of Guangdong Province of China (Grant Nos. 2016A010104018 and 2017A010104022)”.

Conflicts of Interest

The authors declare no conflict of interest.

References

  1. Lim, E.W.; Ismail, R. Conduction Mechanism of Valence Change Resistive Switching Memory A Survey. Electronics 2015, 4, 586–613. [Google Scholar] [CrossRef]
  2. Lin, C.A.; Huang, C.J.; Tseng, T.Y. Impact of barrier layer on HfO2-based conductive bridge random access memory. Appl. Phys. Lett. 2019, 114, 093105. [Google Scholar] [CrossRef]
  3. Han, P.D.; Sun, B.; Cheng, S.; Yu, F.Y. An optoelectronic resistive switching memory behavior of Ag/α-SnWO4/FTO device. J. Alloy Compd. 2016, 681, 516–521. [Google Scholar] [CrossRef]
  4. Wei, X.D.; Huang, H.; Ye, C.; Wei, W.; Zhou, H.; Chen, Y.; Zhang, R.L.; Zhang, L.; Xia, Q. Exploring the role of nitrogen incorporation in ZrO2 resistive switching film for enhancing the device performance. J. Alloy. Compd. 2019, 775, 1301–1306. [Google Scholar] [CrossRef]
  5. Hsu, C.C.; Wang, T.C.; Tsao, C.C. Forming-free sol-gel ZrOx resistive switching memory. J. Alloy. Compd. 2018, 769, 65–70. [Google Scholar] [CrossRef]
  6. Cheng, T.D.; Zhang, H.; Liu, N.; Yu, P.F.; Wu, C.T.; Tang, X.G. Improvement of memristive properties in CuO films with a seed Cu layer. Appl. Phys. Lett. 2019, 114, 061602. [Google Scholar]
  7. Dai, Y.H.; Zhao, Y.Y.; Wang, J.Y.; Xu, J.B.; Yang, F. First principle simulations on the effects of oxygen vacancy in HfO2-based RRAM. AIP Adv. 2015, 5, 017133. [Google Scholar] [CrossRef]
  8. Xue, K.H.; Miao, X.S. Oxygen vacancy chain and conductive filament formation in hafnia. J. Appl. Phys. 2018, 123, 16150. [Google Scholar] [CrossRef]
  9. Chen, C.; Pan, F.; Wang, Z.S.; Yang, J.; Zeng, F. Bipolar resistive switching with self-rectifying effects in Al/ZnO/Si structure. Appl. Phys. Lett. 2012, 111, 013702. [Google Scholar] [CrossRef]
  10. Zhang, M.Y.; Long, S.B.; Li, Y.; Liu, Q.; Lv, H.B.; Miranda, E.A.; Sune, J.D.; Liu, M. Analysis on the Filament Structure Evolution in Reset Transition of Cu/HfO2/Pt RRAM Device. Nanoscale. Res. Lett. 2016, 11, 269. [Google Scholar] [CrossRef]
  11. Chand, U.; Huang, K.C.; Huang, C.Y.; Tseng, T.Y. Mechanism of Nonlinear Switching in HfO2-Based Crossbar RRAM With Inserting Large Bandgap Tunneling Barrier Layer. IEEE Trans. Electron Dev. 2015, 62, 3665–3670. [Google Scholar] [CrossRef]
  12. Gergel-Hackett, N.; Hamadani, B.; Dunlap, B.; Suehle, J.; Richter, C.; Hacker, C.; Gundlach, D. A Flexible Solution-Processed Memristor. IEEE Electron Dev. Lett. 2009, 30, 706–708. [Google Scholar] [CrossRef]
  13. Zhou, Z.; Mao, H.; Wang, X.; Sun, T.; Chang, Q.; Chen, Y.; Xiu, F.; Liu, Z.; Liu, J.; Huang, W. Transient and flexible polymer memristors utilizing full-solution processed polymer nanocomposites. Nanoscale 2018, 10, 14824–14829. [Google Scholar] [CrossRef] [PubMed]
  14. Moller, S.; Perlov, C.; Jackson, W.; Taussig, C.; Forrest, S.R. A polymer/semiconductor write-once read-many-times memory. Lett. Nat. 2003, 426, 166–169. [Google Scholar] [CrossRef] [PubMed]
  15. Figà, V.; Ustab, H.; Macaluso, R.; Salznerd, U.; Ozdemirb, M.; Kulyke, B.; Krupkaf, O.; Bruno, M. Electrochemical polymerization of ambipolar carbonyl-functionalized indenofluorene with memristive properties. Opt. Mater. 2019, 94, 187–195. [Google Scholar] [CrossRef]
  16. Gutowski, M.; Jaffe, J.E.; Liu, C.L.; Stoker, M.; Hegde, R.I.; Rai, R.S.; Tobin, P.J. Thermodynamic stability of high-K dielectric metal oxides ZrO2 and HfO2 in contact with Si and SiO2. Appl. Phys. Lett. 2002, 80, 1897. [Google Scholar] [CrossRef]
  17. Ku, B.; Abbas, Y.; Sokolov, A.S.; Choi, C. Interface engineering of ALD HfO2-based RRAM with Ar plasma treatment for reliable and uniform switching behaviors. J. Alloy Compd. 2017, 735, 1181–1188. [Google Scholar] [CrossRef]
  18. Chen, Y.Y.; Goux, L.; Clima, S.; Govoreanu, B.; Degraeve, R.; Kar, G.S.; Fantini, A.; Groeseneken, G.; Wouters, D.J.; Jurczak, M. Endurance/retention trade-off on HfO2/metal cap 1T1R bipolar RRAM. IEEE Trans. Electron Dev. 2013, 60, 1114–1121. [Google Scholar] [CrossRef]
  19. Song, B.; Cao, R.; Xu, H.; Liu, S.; Liu, H.J.; Li, Q.J. A HfO2/SiTe Based Dual-Layer Selector Device with Minor Threshold Voltage Variation. Nanomaterials 2019, 9, 408. [Google Scholar] [CrossRef]
  20. Nguyen, T.H.; Barua, A.; Bailey, T.; Rush, A.; Kosel, P.; Leedy, K.; Jha, R. Reflection coefficient of HfO2-based RRAM in different resistance states. Appl. Phys. Lett. 2018, 113, 192101. [Google Scholar] [CrossRef]
  21. Yang, Y.X.; Yuan, G.L.; Yan, Z.B.; Wang, Y.J.; Lu, X.B.; Liu, J.M. Flexible Semitransparent, and Inorganic Resistive Memory based on BaTi0.95Co0.05O3 Film. Adv. Mater. 2017, 29, 1700425. [Google Scholar] [CrossRef]
  22. Xiao, Z.A.; Zhao, J.H.; Lu, C.; Zhou, Z.Y.; Wang, H.; Zhang, L.; Wang, J.J.; Li, X.Y.; Wang, K.Y.; Zhao, Q.L.; et al. Characteristic investigation of a flexible resistive memory based on a tunneling junction of Pd/BTO/LSMO on mica substrate. Appl. Phys. Lett. 2018, 113, 223501. [Google Scholar] [CrossRef]
  23. Yang, C.H.; Han, Y.J.; Qian, J.; Lv, P.P.; Lin, X.J.; Huang, S.F.; Cheng, Z.X. Flexible Temperature-Resistant, and Fatigue-Free Ferroelectric Memory Based on Bi(Fe0.93Mn0.05Ti0.02)O3 Thin Film. ACS Appl. Mater. Interfaces 2019, 11, 12647–12655. [Google Scholar] [CrossRef]
  24. He, G.; Liu, M.; Zhu, L.Q.; Chang, M.; Fang, Q.; Zhang, L.D. Effect of post deposition annealing on the thermal stability and structural characteristics of sputtered HfO2 films on Si (100). Surf. Sci. 2014, 576, 67–75. [Google Scholar] [CrossRef]
  25. Kumar, S.; Rai, S.B.; Rath, C. Latent Fingerprint Imaging Using Dy and Sm Codoped HfO2 Nanophosphors: Structure and Luminescence Properties. Part. Part. Syst. Charact. 2019, 36, 1900048. [Google Scholar] [CrossRef]
  26. Bradley, S.R.; Bersuker, G.; Shluger, A.L. Modelling of oxygen vacancy aggregates in monoclinic HfO2: Can they contribute to conductive filament formation? J. Phys. Condens. Mater. 2015, 27, 415401. [Google Scholar] [CrossRef]
  27. Crist, B.V. Handbook of Monochromatic XPS Spectra, Semiconductors. IEEE Electr. Insul. M. 2003, 19, 47. [Google Scholar]
  28. Yoon, J.H.; Song, S.J.; Yoo, I.; Seok, J.Y.; Yoon, K.J.; Kwon, D.E.; Park, T.H.; Hwang, C.S. Highly Uniform, Electroforming-Free, and Self-Rectifying Resistive Memory in the Pt/Ta2O5/HfO2−x/TiN Structure. Adv. Funct. Mater. 2014, 24, 5086–5095. [Google Scholar] [CrossRef]
  29. Ma, H.L.; Zhang, X.M.; Wu, F.C.; Luo, Q.; Gong, T.C.; Yuan, P.; Xu, X.X.; Liu, Y.; Zhao, S.J.; Zhang, K.P.; et al. Self-Rectifying Resistive Switching Device Based on HfO2/TaOx Bilayer Structure. IEEE Trans. Electron Dev. 2019, 66, 924–928. [Google Scholar] [CrossRef]
  30. Januar, M.; Prakoso, S.P.; Lan, S.Y.; Mahanty, R.K.; Kuo, S.Y.; Liu, K.C. The role of oxygen plasma in the formation of oxygen defects in HfOx films deposited at room temperature. J. Mater. Chem. C 2015, 3, 4104–4114. [Google Scholar] [CrossRef]
  31. Sokolov, A.S.; Jeon, Y.R.; Kim, S.; Ku, B.; Lim, D.; Han, H.; Chae, M.G.; Lee, J.; Ha, B.G.; Choi, C. Influence of oxygen vacancies in ALD HfO2−x thin films on non-volatile resistive switching phenomena with a Ti/HfO2−x/Pt structure. Appl. Surf. Sci. 2018, 434, 822–830. [Google Scholar] [CrossRef]
  32. Traore, B.; Blaise, P.; Vianello, E.; Grampeix, H.; Jeannot, S.; Perniola, L.; De Salvo, B.; Nishi, Y. On the Origin of Low-Resistance State Retention Failure in HfO2-Based RRAM and Impact of Doping/Alloying. IEEE Trans. Electron Dev. 2015, 62, 4029–4036. [Google Scholar] [CrossRef]
  33. Januar, M.; Prakoso, S.P.; Lan, S.Y.; Mahanty, R.K.; Kuo, S.Y.; Liu, K.C. Metal oxide resistive memory switching mechanism based on conductive filament properties. J. Appl. Phys. 2011, 110, 124518. [Google Scholar]
  34. Padovani, A.; Larcher, L.; Pirrotta, O.; Vandelli, L.; Bersuker, G. Microscopic Modeling of HfOx RRAM Operations: From Forming to Switching. IEEE Trans. Electron Dev. 2015, 62, 1998–2006. [Google Scholar] [CrossRef]
  35. Chen, P.H.; Su, Y.T.; Chang, F.C. Stabilizing Resistive Switching Characteristics by Inserting Indium-Tin-Oxide Layer as Oxygen Ion Reservoir in HfO2-Based Resistive Random Access Memory. IEEE Trans. Electron Dev. 2019, 66, 1276–1280. [Google Scholar] [CrossRef]
  36. Qi, M.; Tao, Y.; Wang, Z.Q.; Xu, H.Y.; Zhao, X.N.; Liu, W.Z.; Ma, J.G.; Liu, Y.C. Highly uniform switching of HfO2−x based RRAM achieved through Ar plasma treatment for low power and multilevel storage. Appl. Surf. Sci. 2018, 458, 216–221. [Google Scholar] [CrossRef]
Figure 1. Schematic patterns of the HfO2/Pt/Ti/SiO2/Si (S1) and HfO2/Pt/mica (S2) devices.
Figure 1. Schematic patterns of the HfO2/Pt/Ti/SiO2/Si (S1) and HfO2/Pt/mica (S2) devices.
Nanomaterials 09 01124 g001
Figure 2. (a,b) Atomic force microscopy patterns of HfO2 thin films in S1 and S2 devices, respectively; (c,d) typical cross-sectional scanning electron microscope images of S1 and S2, respectively.
Figure 2. (a,b) Atomic force microscopy patterns of HfO2 thin films in S1 and S2 devices, respectively; (c,d) typical cross-sectional scanning electron microscope images of S1 and S2, respectively.
Nanomaterials 09 01124 g002
Figure 3. Grazing-incidence X-ray diffraction patterns of HfO2 thin films in S1 and S2.
Figure 3. Grazing-incidence X-ray diffraction patterns of HfO2 thin films in S1 and S2.
Nanomaterials 09 01124 g003
Figure 4. (a,b) The X-ray photoelectron spectroscopy (XPS) spectra of the S1 device; (c,d) the XPS spectra of the S2 device; (b,d) show the different oxygen intensities after fitting the peak.
Figure 4. (a,b) The X-ray photoelectron spectroscopy (XPS) spectra of the S1 device; (c,d) the XPS spectra of the S2 device; (b,d) show the different oxygen intensities after fitting the peak.
Nanomaterials 09 01124 g004
Figure 5. Resistive switching characteristics of (a) Au/HfO2/Pt/Ti/SiO2/Si, (b) Au/HfO2/Pt/mica, (c) Au/HfO2/Pt/Ti/SiO2/Si with 100 sweep cycles, and (d) Au/HfO2/Pt/mica with 100 sweep cycles.
Figure 5. Resistive switching characteristics of (a) Au/HfO2/Pt/Ti/SiO2/Si, (b) Au/HfO2/Pt/mica, (c) Au/HfO2/Pt/Ti/SiO2/Si with 100 sweep cycles, and (d) Au/HfO2/Pt/mica with 100 sweep cycles.
Nanomaterials 09 01124 g005
Figure 6. Endurance characteristics of (a) Au/HfO2/Pt/Ti/SiO2/Si and (b) Au/HfO2/Pt/mica RRAM devices at room temperature; (c,d) the cumulative probability plots of high resistance state and low resistance state for the two devices, respectively, at a reading voltage of 0.2 V.
Figure 6. Endurance characteristics of (a) Au/HfO2/Pt/Ti/SiO2/Si and (b) Au/HfO2/Pt/mica RRAM devices at room temperature; (c,d) the cumulative probability plots of high resistance state and low resistance state for the two devices, respectively, at a reading voltage of 0.2 V.
Nanomaterials 09 01124 g006
Figure 7. logI–logV plots in (a) Au/HfO2/Pt/Ti/SiO2/Si and (b) Au/HfO2/Pt/mica RRAM devices under positive voltage; (c) Au/HfO2/Pt/Ti/SiO2/Si and (d) Au/HfO2/Pt/mica RRAM devices under negative voltage.
Figure 7. logI–logV plots in (a) Au/HfO2/Pt/Ti/SiO2/Si and (b) Au/HfO2/Pt/mica RRAM devices under positive voltage; (c) Au/HfO2/Pt/Ti/SiO2/Si and (d) Au/HfO2/Pt/mica RRAM devices under negative voltage.
Nanomaterials 09 01124 g007
Figure 8. Schematic diagram explaining the conduction mechanism: (a) The RS is very high because the device does not form CF; (b) When a positive bias is applied, the oxygen vacancies move towards the negative electrode and a CF is formed; (c) The device is in the SET state because the oxygen vacancies has formed CF; (d) When the voltage is reversed, the CF immediately rupture.
Figure 8. Schematic diagram explaining the conduction mechanism: (a) The RS is very high because the device does not form CF; (b) When a positive bias is applied, the oxygen vacancies move towards the negative electrode and a CF is formed; (c) The device is in the SET state because the oxygen vacancies has formed CF; (d) When the voltage is reversed, the CF immediately rupture.
Nanomaterials 09 01124 g008

Share and Cite

MDPI and ACS Style

Liu, C.-F.; Tang, X.-G.; Wang, L.-Q.; Tang, H.; Jiang, Y.-P.; Liu, Q.-X.; Li, W.-H.; Tang, Z.-H. Resistive Switching Characteristics of HfO2 Thin Films on Mica Substrates Prepared by Sol-Gel Process. Nanomaterials 2019, 9, 1124. https://doi.org/10.3390/nano9081124

AMA Style

Liu C-F, Tang X-G, Wang L-Q, Tang H, Jiang Y-P, Liu Q-X, Li W-H, Tang Z-H. Resistive Switching Characteristics of HfO2 Thin Films on Mica Substrates Prepared by Sol-Gel Process. Nanomaterials. 2019; 9(8):1124. https://doi.org/10.3390/nano9081124

Chicago/Turabian Style

Liu, Chao-Feng, Xin-Gui Tang, Lun-Quan Wang, Hui Tang, Yan-Ping Jiang, Qiu-Xiang Liu, Wen-Hua Li, and Zhen-Hua Tang. 2019. "Resistive Switching Characteristics of HfO2 Thin Films on Mica Substrates Prepared by Sol-Gel Process" Nanomaterials 9, no. 8: 1124. https://doi.org/10.3390/nano9081124

Note that from the first issue of 2016, this journal uses article numbers instead of page numbers. See further details here.

Article Metrics

Back to TopTop