Skip to main content
Log in

Nanoindentation analysis of mechanical properties of low to ultralow dielectric constant SiCOH films

  • Published:
Journal of Materials Research Aims and scope Submit manuscript

Abstract

Carbon-doped oxide SiCOH films with low to ultralow dielectric constants were prepared on a Si substrate by plasma-enhanced chemical vapor deposition (PECVD) from mixtures of SiCOH precursors with organic materials. The films have different levels of nanoscale porosity resulting in different dielectric constants and mechanical properties. The mechanical properties of the films have been characterized by continuous-stiffness nanoindentation measurements. To study the effect of film thickness, each group of samples with the same dielectric constant was composed of samples prepared with different film thicknesses. It is shown that the effective hardness and modulus of the SiCOH/Si substrate system depends significantly on indentation depth due to substrate constraint effects. The “true” film properties were determined using both an empirical formulation of the effective modulus and direct inversion based on a finite element model. The hardness and modulus of three groups of samples with different degrees of dielectric constants have been measured. The hardness increases from 0.7 to 2.7 GPa and modulus from 3.6 to 17.0 GPa as the dielectric constants change from 2.4 to 3.0. While for stiffer films the modulus measured at an indentation depth 10% of the film thickness is close to the “true” value for films thicker than 0.5 µm, the measured value can give an overestimate of up to 35% for softer films. Thin film cracking and film–substrate debonding have been observed with scanning electron and atomic force microscopy at the indentation sites in softer films. The damage initiation is indicated by pop-in events in the loading curve and sharp peaks in the normalized contact stiffness curves versus indentation depth.

This is a preview of subscription content, log in via an institution to check access.

Access this article

Price excludes VAT (USA)
Tax calculation will be finalised during checkout.

Instant access to the full article PDF.

Similar content being viewed by others

References

  1. A. Grill: Plasma enhanced chemical vapor deposited SiCOH dielectrics: From low-k to extreme low-k interconnect materials. J. Appl. Phys. 93, 1785 (2003).

    Article  CAS  Google Scholar 

  2. A. Grill and D.A. Neumayer: Structure of low dielectric constant to extreme low dielectric constant SiCOH films: Fourier transform infrared spectroscopy characterization. J. Appl. Phys. 94, 1 (2003).

    Article  Google Scholar 

  3. A. Grill and V. Patel: Ultralow-k dielectrics prepared by plasmaenhanced chemical vapor deposition. Appl. Phys. Lett. 79, 803 (2001).

    Article  CAS  Google Scholar 

  4. D. Edelstein, C. Davis, L. Clevenger, M. Yoon, A. Cowley, T. Nogami, H. Rathore, B. Agarwala, S. Arai, A. Carbone, K. Chanda, S. Cohen, W. Cote, M. Cullinan, T. Dalton, S. Das, P. Davis, J. Demarest, D. Dunn, C. Dziobkowski, R. Filippi, J. Fitzsimmons, P. Flaitz, S. Gates, J. Gill, A. Grill, D. Hawken, K. Ida, D. Klaus, N. Klymko, M. Lane, S. Lane, J. Lee, W. Landers, W-K. Li, Y-H. Lin, E. Liniger, X-H. Liu, A. Madan, S. Malhotra, J. Martin, S. Molis, C. Muzzy, D. Nguyen, S. Nguyen, M. Ono, C. Parks, D. Questad, D. Restaino, A. Sakamoto, T. Shaw, Y. Shimooka, A. Simon, E. Simonyi, S. Tempest, T. Van Kleeck, S. Vogt, Y-Y. Wang, W. Wille, J. Wright, C-C. Yang, and T. Ivers: Reliability, yield, and performance of a 90 nm SOI/Cu/SiCOH technology, in Proceedings of the IEEE 2004 Intern. Interconnect Technol. Conference, (IEEE, Piscataway, NJ, 2004), pp. 214–216.

    Google Scholar 

  5. A. Grill, V. Patel, K.P. Rodbell, E. Huang, M.R. Baklanov, K.P. Mogilnikov, M. Toney, and H.C. Kim: Porosity in plasma enhanced chemical vapor deposited SiCOH dielectrics: A comparative study. J. Appl. Phys. 94, 3427 (2003).

    Article  CAS  Google Scholar 

  6. W.C. Oliver and G.M. Pharr: An improved technique for determining hardness and elastic modulus using load and displacement sensing indentation experiments. J. Mater. Res. 7, 1564 (1992).

    Article  CAS  Google Scholar 

  7. W.C. Oliver and G.M. Pharr: Measurement of hardness and elastic modulus by instrumented indentation: Advances in understanding and refinements to methodology. J. Mater. Res. 19, 3 (2004).

    Article  CAS  Google Scholar 

  8. X. Li and B. Bhushan: A review of nanoindentation continuous stiffness measurement technique and its applications. Mater. Charact. 48, 11 (2002).

    Article  CAS  Google Scholar 

  9. W.D. Nix: Elastic and plastic properties of thin films on substrates: Nanoindentation techniques. Mater. Sci. Eng., A 234, 37 (1997).

    Article  Google Scholar 

  10. R. Saha and W.D. Nix: Effects of the substrate on the determination of thin film mechanical properties by nanoindentation. Acta Mater. 50, 23 (2002).

    Article  CAS  Google Scholar 

  11. L. Shen, K. Zeng, Y. Wang, B. Narayanan, and R. Kumar: Determination of the hardness and elastic modulus of low-k thin films and their barrier layer for microelectronic applications. Microelectron. Eng. 70, 115 (2003).

    Article  CAS  Google Scholar 

  12. A.A. Volinsky, J.B. Vella, and W.W. Gerberich: Fracture toughness, adhesion and mechanical properties of low-K dielectric thin films measured by nanoindentation. Thin Solid Films 429, 201 (2003).

    Article  CAS  Google Scholar 

  13. T.Y. Tsui, C.A. Ross, and G.M. Pharr: A method for making substrate-independent hardness measurements of soft metallic films on hard substrates by nanoindentation. J. Mater. Res. 18, 1383 (2003).

    Article  CAS  Google Scholar 

  14. T.Y. Tsui and G.M. Pharr: Substrate effects on nanoindentation mechanical property measurement of soft films on hard substrates. J. Mater. Res. 14, 292 (1998).

    Article  Google Scholar 

  15. R.B. King: Elastic analysis of some punch problems for a layered medium. Int. J. Solids Struct. 23, 1657 (1987).

    Article  Google Scholar 

  16. W.D. Nix and H. Gao: Indentation size effects in crystalline materials: A law for strain gradient plasticity. J. Mech. Phys. Solids 46, 411 (1998).

    Article  CAS  Google Scholar 

  17. R. Saha, Z.Y. Xue, Y. Huang, and W.D. Nix: Indentation of a soft metal film on a hard substrate: Strain gradient hardening effects. J. Mech. Phys. Solids 49, 1997 (2001).

    Article  CAS  Google Scholar 

  18. Z.H. Xu and D. Rowcliffe: Deriving mechanical properties of soft coatings using nanoindentation: An application of mechanismbased strain gradient plasticity. Surf. Coat. Technol. 157, 231 (2002).

    Article  CAS  Google Scholar 

  19. L. Wang and S.I. Rokhlin: Universal scaling functions for continuous stiffness nanoindentation with sharp indenters. Int. J. Solids Struct. 42, 3807 (2005).

    Article  Google Scholar 

  20. L. Wang, M. Ganor, and S.I. Rokhlin: Inverse scaling functions in nanoindentation with sharp indenters: Determination of material properties. J. Mater. Res. 20, 987 (2005).

    Article  CAS  Google Scholar 

  21. J.A. Knapp, D.M. Follstaedt, S.M. Myers, J.C. Barbour, and T.A. Friedmann: Finite element modeling of nanoindentation. J. Appl. Phys. 85, 1460 (1999).

    Article  CAS  Google Scholar 

  22. A. Grill, D. Edelstein, D. Restaino, M. Lane, S. Gates, E. Liniger, T. Shaw, X-H. Liu, D. Klaus, V. Patel, S. Cohen, E. Simonyi, N. Klymko, S. Lane, K. Ida, S. Vogt, T. Van Kleeck, C. Davis, M. Ono, T. Nogami, and T. Ivers: Optimization of SiCOH dielectrics for integration in a 90 nm CMOS technology, in Proc. IEEE 2004 Int. Interconnect Technol. Conference, (IEEE, Piscataway, NJ, 2004), pp. 54–56.

    Google Scholar 

  23. T.Y. Zhang, W.H. Xu, and M. Zhao: The role of plastic deformation at a rough surface in the size-dependent hardness. Acta Mater. 52, 57 (2004).

    Article  CAS  Google Scholar 

  24. S. Qu, Y. Huang, W.D. Nix, H. Jiang, F. Zhang, and K.C. Hwang: Indenter tip radius effect on the Nix-Gao relation in micro- and nanoindentation hardness experiments. J. Mater. Res. 19, 3423 (2004).

    Article  CAS  Google Scholar 

  25. J.B. Vella, I.S. Adhihetty, K. Junker, and A.A. Volinsky: Mechanical properties and fracture toughness of organo-silicate glass (OSG) low-k dielectric thin films for microelectronic applications. Int. J. Fracture 119/120, 487 (2003).

    Article  CAS  Google Scholar 

  26. D.J. Morris, S.B. Myers, and R.F. Cook: Sharp probes of varying acuity: Instrumented indentation and fracture behavior. J. Mater. Res. 19, 165 (2004).

    Article  CAS  Google Scholar 

  27. Y. Toivola, A. Stein, and R.F. Cook: Depth-sensing indentation response of ordered silica foam. J. Mater. Res. 19, 260 (2004).

    Article  CAS  Google Scholar 

  28. J.T. Czernuszka and T.F. Page: Characterizing the surface-contact behavior of ceramics. 1. Hardness response of glass-bonded alumina and titania. J. Mater. Sci. 22, 3907 (1987).

    Article  CAS  Google Scholar 

  29. M. Hommel and O. Kraft: Deformation behavior of thin copper films on deformable substrates. Acta Mater. 49, 3935 (2001).

    Article  CAS  Google Scholar 

  30. B. von Blanckenhagen, P. Gumbsch, and E. Arzt: Dislocation sources and flow stress of polycrystalline thin metal films. Philos. Mag. Lett. 83, 1 (2003).

    Article  Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to S. I. Rokhlin.

Rights and permissions

Reprints and permissions

About this article

Cite this article

Wang, L., Ganor, M., Rokhlin, S.I. et al. Nanoindentation analysis of mechanical properties of low to ultralow dielectric constant SiCOH films. Journal of Materials Research 20, 2080–2093 (2005). https://doi.org/10.1557/JMR.2005.0258

Download citation

  • Received:

  • Accepted:

  • Published:

  • Issue Date:

  • DOI: https://doi.org/10.1557/JMR.2005.0258

Navigation