skip to main content
10.1145/3575757.3593659acmotherconferencesArticle/Chapter ViewAbstractPublication PagesrtnsConference Proceedingsconference-collections
research-article
Open Access

B-TSP: An Advanced Power Safe Management Strategy for modern Multi-core Platforms under Thermal-Aware Design

Published:07 June 2023Publication History

ABSTRACT

Thermal management is a crucial aspect of the design and operation of safety-critical multi-core architectures, as their high power density can cause significant heat generation and risk of thermal overload. If not properly managed, thermal overload can lead to system failures and performance degradation, which is a major challenge for system designers. To address this challenge, advanced core mapping solutions have become increasingly popular in both industry and academia. In this paper, we present key insights, techniques and results on thermal management in multi-core architectures. We propose a new per-core power budget strategy called that is scalable and enables system performance optimization while abstracting from mapping concerns. In addition, we present a new strategy called that allows us to derive worst-case mappings as a function of the number of active cores from a power consumption perspective in a thermal-aware design. We demonstrate the effectiveness of our solution through intensive simulations with the homogeneous AMD EPYC 7351 16-cores platform.

References

  1. AMD. 2017. AMD EPYC™ 7351. https://www.amd.com/en/product/1986.Google ScholarGoogle Scholar
  2. AMD. 2019. AMD EPYC™ 7742. https://www.amd.com/en/product/8761.Google ScholarGoogle Scholar
  3. Mohsen Ansari, Sepideh Safari, Amir Yeganeh-Khaksar, Mohammad Salehi, and Alireza Ejlali. 2019. Peak Power Management to Meet Thermal Design Power in Fault-Tolerant Embedded Systems. IEEE Transactions on Parallel and Distributed Systems 30, 1 (2019), 161–173. https://doi.org/10.1109/TPDS.2018.2858816Google ScholarGoogle ScholarDigital LibraryDigital Library
  4. Ondřej Benedikt, Michal Sojka, Pavel Zaykov, David Hornof, Matěj Kafka, Přemysl Šůcha, and Zdeněk Hanzálek. 2021. Thermal-Aware Scheduling for MPSoC in the Avionics Domain: Tooling and Initial Results. In 27th Int. Conference on Embedded and Real-Time Computing Systems and Applications (RTCSA). IEEE, Houston, TX, USA, 159–168. https://doi.org/10.1109/RTCSA52859.2021.00026Google ScholarGoogle Scholar
  5. T. Chantem, R. P. Dick, and X. S. Hu. 2008. Temperature-Aware Scheduling and Assignment for Hard Real-Time Applications on MPSoCs. In Design, Automation and Test in Europe. IEEE, Munich, Germany, 288–293. https://doi.org/10.1109/DATE.2008.4484694Google ScholarGoogle ScholarCross RefCross Ref
  6. Ting-Hsuan Chien and Rong-Guey Chang. 2016. A thermal-aware scheduling for multicore architectures. Journal of Systems Architecture 62 (2016), 54–62. https://doi.org/10.1016/j.sysarc.2015.12.003Google ScholarGoogle ScholarDigital LibraryDigital Library
  7. Intel. 2019. Intel® Xeon® Platinum 9282 Processor. https://www.intel.com/content/www/us/en/products/sku/194146/intel-xeon-platinum-9282-processor-77m-cache-2-60-ghz/specifications.html.Google ScholarGoogle Scholar
  8. Intel. 2019. Intel® Xeon® W-3275M Processor. https://www.intel.com/content/www/us/en/products/sku/193754/intel-xeon-w3275m-processor-38-5m-cache-2-50-ghz/specifications.html.Google ScholarGoogle Scholar
  9. Deguang Li, Ruiling Zhang, Shijie Jia, Yanling Jin, Youzhong Ma, and Junke Li. 2019. An Improved Dynamic Power Management Approach by Process Migration for Multi-Core Systems. In International Conference on Internet of Things (iThings) and IEEE Green Computing and Communications (GreenCom) and IEEE Cyber, Physical and Social Computing (CPSCom) and IEEE Smart Data (SmartData). IEEE, Atlanta, GA, USA, 368–372. https://doi.org/10.1109/iThings/GreenCom/CPSCom/SmartData.2019.00081Google ScholarGoogle ScholarCross RefCross Ref
  10. Shiting (Justin) Lu, Russell Tessier, and Wayne Burleson. 2015. Reinforcement Learning for Thermal-Aware Many-Core Task Allocation. In Proceedings of the 25th Edition on Great Lakes Symposium on VLSI (Pittsburgh, Pennsylvania, USA) (GLSVLSI ’15). ACM, NY, USA, 379–384. https://doi.org/10.1145/2742060.2742078Google ScholarGoogle ScholarDigital LibraryDigital Library
  11. Enric Musoll. 2008. A Thermal-Friendly Load-Balancing Technique for Multi-Core Processors. In International Symposium on Quality Electronic Design (ISQED). IEEE, San Jose, CA, USA, 549–552. https://doi.org/10.1109/ISQED.2008.4479794Google ScholarGoogle ScholarCross RefCross Ref
  12. S. Pagani. 2016. Power, Energy, and Thermal Management for Clustered Manycores. Ph. D. Dissertation. Karlsruher Institut für Technologie.Google ScholarGoogle Scholar
  13. Santiago Pagani, Heba Khdr, Jian-Jia Chen, Muhammad Shafique, Minming Li, and Jörg Henkel. 2017. Thermal Safe Power (TSP): Efficient Power Budgeting for Heterogeneous Manycore Systems in Dark Silicon. IEEE Trans. Comput. 66, 1 (2017), 147–162. https://doi.org/10.1109/TC.2016.2564969Google ScholarGoogle ScholarDigital LibraryDigital Library
  14. Javier Pérez Rodríguez and Patrick Meumeu Yomsi. 2021. An Efficient Proactive Thermal-Aware Scheduler for DVFS-Enabled Single-Core Processors. In 29th Int. Conference on Real-Time Networks and Systems (NANTES, France) (RTNS’2021). ACM, NY, USA, 144–154. https://doi.org/10.1145/3453417.3453430Google ScholarGoogle ScholarDigital LibraryDigital Library
  15. J. P. Rodriguez and P. M. Yomsi. 2020. WiP: Towards a fine-grain thermal model for uniform multi-core processors. In RTSS. IEEE, Houston, TX, USA, 403–406.Google ScholarGoogle Scholar
  16. Andrea Rudi, Andrea Bartolini, Andrea Lodi, and Luca Benini. 2014. Optimum: Thermal-aware task allocation for heterogeneous many-core devices. In International Conference on High Performance Computing and Simulation (HPCS). IEEE, Bologna, Italy, 82–87. https://doi.org/10.1109/HPCSim.2014.6903672Google ScholarGoogle ScholarCross RefCross Ref
  17. Muhammad Naeem Shehzad, Qaisar Bashir, Umer Farooq, Ghufran Ahmed, Mohsin Raza, Priyan Malarvizhi Kumar, and Muhammad Khalid. 2020. Threshold temperature scaling: Heuristic to address temperature and power issues in MPSoCs. Microprocessors and Microsystems 77 (2020), 103124. https://doi.org/10.1016/j.micpro.2020.103124Google ScholarGoogle ScholarDigital LibraryDigital Library
  18. Hafiz Fahad Sheikh and Ishfaq Ahmad. 2014. Efficient heuristics for joint optimization of performance, energy, and temperature in allocating tasks to multi-core processors. In International Green Computing Conference. IEEE, Dallas, TX, USA, 1–8. https://doi.org/10.1109/IGCC.2014.7039178Google ScholarGoogle ScholarCross RefCross Ref
  19. K. Skadron, M. R. Stan, W. Huang, S. Velusamy, K. Sankaranarayanan, and D. Tarjan. 2003. Temperature-Aware Microarchitecture. In Int. Symp. on Comp. Arch.ACM, New York, NY, USA, 2–13.Google ScholarGoogle Scholar
  20. Ting-Hao Tsai and Ya-Shu Chen. 2016. Thermal-throttling server: A thermal-aware real-time task scheduling framework for three-dimensional multicore chips. Journal of Systems and Software 112 (2016), 11–25. https://doi.org/10.1016/j.jss.2015.10.038Google ScholarGoogle ScholarDigital LibraryDigital Library
  21. Hai Wang, Ming Zhang, Sheldon X.-D. Tan, Chi Zhang, Yuan Yuan, Keheng Huang, and Zhenghong Zhang. 2016. New power budgeting and thermal management scheme for multi-core systems in dark silicon. In 29th International System-on-Chip Conference (SOCC). IEEE, Seattle, WA, USA, 344–349. https://doi.org/10.1109/SOCC.2016.7905507Google ScholarGoogle ScholarCross RefCross Ref
  22. Guowei Wu, Zichuan Xu, Qiufen Xia, Jiankang Ren, and Feng Xia. 2010. Task Allocation and Migration Algorithm for Temperature-Constrained Real-Time Multi-Core Systems. In Int. Conference on Green Computing and Communications and Int. Conference on Cyber, Physical and Social Computing. IEEE/ACM, Hangzhou, China, 189–196. https://doi.org/10.1109/GreenCom-CPSCom.2010.27Google ScholarGoogle ScholarDigital LibraryDigital Library
  23. Buyoung Yun, Kang G. Shin, and Shige Wang. 2011. Thermal-Aware Scheduling of Critical Applications Using Job Migration and Power-Gating on Multi-core Chips. In International Conference on Trust, Security and Privacy in Computing and Communications. IEEE, Changsha, China, 1083–1090. https://doi.org/10.1109/TrustCom.2011.148Google ScholarGoogle ScholarDigital LibraryDigital Library
  24. Jinwei Zhang, Sheriff Sadiqbatcha, Yuanqi Gao, Michael O’Dea, Nanpeng Yu, and Sheldon X.-D. Tan. 2020. HAT-DRL: Hotspot-Aware Task Mapping for Lifetime Improvement of Multicore System using Deep Reinforcement Learning. In 2nd Workshop on Machine Learning for CAD (MLCAD). ACM/IEEE, New York, NY, USA, 77–82. https://doi.org/10.1145/3380446.3430623Google ScholarGoogle ScholarDigital LibraryDigital Library
  25. Dennis G Zill. 2012. A first course in differential equations with modeling applications. Cengage Learning, USA.Google ScholarGoogle Scholar

Index Terms

  1. B-TSP: An Advanced Power Safe Management Strategy for modern Multi-core Platforms under Thermal-Aware Design

        Recommendations

        Comments

        Login options

        Check if you have access through your login credentials or your institution to get full access on this article.

        Sign in
        • Published in

          cover image ACM Other conferences
          RTNS '23: Proceedings of the 31st International Conference on Real-Time Networks and Systems
          June 2023
          242 pages
          ISBN:9781450399838
          DOI:10.1145/3575757

          Copyright © 2023 Owner/Author

          This work is licensed under a Creative Commons Attribution International 4.0 License.

          Publisher

          Association for Computing Machinery

          New York, NY, United States

          Publication History

          • Published: 7 June 2023

          Check for updates

          Qualifiers

          • research-article
          • Research
          • Refereed limited

          Acceptance Rates

          Overall Acceptance Rate119of255submissions,47%
        • Article Metrics

          • Downloads (Last 12 months)121
          • Downloads (Last 6 weeks)11

          Other Metrics

        PDF Format

        View or Download as a PDF file.

        PDF

        eReader

        View online with eReader.

        eReader

        HTML Format

        View this article in HTML Format .

        View HTML Format