skip to main content
research-article

Learning-‘N-Flying: A Learning-Based, Decentralized Mission-Aware UAS Collision Avoidance Scheme

Published:22 September 2021Publication History
Skip Abstract Section

Abstract

Urban Air Mobility, the scenario where hundreds of manned and Unmanned Aircraft Systems (UASs) carry out a wide variety of missions (e.g., moving humans and goods within the city), is gaining acceptance as a transportation solution of the future. One of the key requirements for this to happen is safely managing the air traffic in these urban airspaces. Due to the expected density of the airspace, this requires fast autonomous solutions that can be deployed online. We propose Learning-‘N-Flying (LNF), a multi-UAS Collision Avoidance (CA) framework. It is decentralized, works on the fly, and allows autonomous Unmanned Aircraft System (UAS)s managed by different operators to safely carry out complex missions, represented using Signal Temporal Logic, in a shared airspace. We initially formulate the problem of predictive collision avoidance for two UASs as a mixed-integer linear program, and show that it is intractable to solve online. Instead, we first develop Learning-to-Fly (L2F) by combining (1) learning-based decision-making and (2) decentralized convex optimization-based control. LNF extends L2F to cases where there are more than two UASs on a collision path. Through extensive simulations, we show that our method can run online (computation time in the order of milliseconds) and under certain assumptions has failure rates of less than 1% in the worst case, improving to near 0% in more relaxed operations. We show the applicability of our scheme to a wide variety of settings through multiple case studies.

References

  1. Federal Aviation Administration. 2018. Concept of Operations: Unmanned Aircraft System (UAS) Traffic Management (UTM). https://utm.arc.nasa.gov/docs/2018-UTM-ConOps-v1.0.pdf.Google ScholarGoogle Scholar
  2. Derya Aksaray, Austin Jones, Zhaodan Kong, Mac Schwager, and Calin Belta. 2016. Q-Learning for robust satisfaction of signal temporal logic specifications. In IEEE Conference on Decision and Control.Google ScholarGoogle ScholarDigital LibraryDigital Library
  3. Javier Alonso-Mora, Tobias Naegeli, Roland Siegwart, and Paul Beardsley. 2015. Collision avoidance for aerial vehicles in multi-agent scenarios. Autonomous Robots 39, 1 (2015), 101–121. Google ScholarGoogle ScholarDigital LibraryDigital Library
  4. Anjan Chakrabarty, Corey Ippolito, Joshua Baculi, Kalmanje Krishnakumar, and Sebastian Hening. 2019. Vehicle to Vehicle (V2V) communication for Collision avoidance for Multi-copters flying in UTM–TCL4. DOI:https://doi.org/10.2514/6.2019-0690Google ScholarGoogle Scholar
  5. Mohammed Dahleh, Munther A. Dahleh, and George Verghese. 2004. Lectures on dynamic systems and control. MIT Lecture Notes 4, 100 (2004), 1–100.Google ScholarGoogle Scholar
  6. Jonathan A. DeCastro, Javier Alonso-Mora, Vasumathi Raman, and Hadas Kress-Gazit. 2017. Collision-free reactive mission and motion planning for multi-robot systems. In Springer Proceedings in Advanced Robotics.Google ScholarGoogle Scholar
  7. Ankush Desai, Indranil Saha, Yang Jianqiao, Shaz Qadeer, and Sanjit A. Seshia. 2017. DRONA: A framework for safe distributed mobile robotics. In ACM/IEEE International Conference on Cyber-Physical Systems. Google ScholarGoogle ScholarDigital LibraryDigital Library
  8. Francisco Fabra, Willian Zamora, Julio Sangüesa, Carlos T. Calafate, Juan-Carlos Cano, and Pietro Manzoni. 2019. A distributed approach for collision avoidance between multirotor UAVs following planned missions. Sensors 19, 10 (2019), 2404.Google ScholarGoogle ScholarCross RefCross Ref
  9. G. Fainekos and G. Pappas. 2009. Robustness of temporal logic specifications for continuous-time signals. Theoretical Computer Science 410, 42 (2009), 4262–4291. Google ScholarGoogle ScholarDigital LibraryDigital Library
  10. G. E. Fainekos, H. Kress-Gazit, and G. J. Pappas. 2005. Hybrid controllers for path planning: A temporal logic approach. In Proceedings of the 44th IEEE Conference on Decision and Control. 4885–4890. DOI:https://doi.org/10.1109/CDC.2005.1582935Google ScholarGoogle ScholarCross RefCross Ref
  11. Felix A. Gers, Nicol N. Schraudolph, and Jürgen Schmidhuber. 2002. Learning precise timing with LSTM recurrent networks. Journal of Machine Learning Research 3, (2002), 115–143. Google ScholarGoogle ScholarDigital LibraryDigital Library
  12. Davis L. Hackenberg. 2018. ARMD Urban Air Mobility Grand Challenge: UAM Grand Challenge Scenarios. https://evtol.news/__media/PDFs/eVTOL-NASA-Revised_UAM_Grand_Challenge_Scenarios.pdf. (2018).Google ScholarGoogle Scholar
  13. Sepp Hochreiter and Jürgen Schmidhuber. 1997. Long short-term memory. Neural Computation 9, 8 (1997), 1735–1780. Google ScholarGoogle ScholarDigital LibraryDigital Library
  14. S. Karaman and E. Frazzoli. 2011. Linear temporal logic vehicle routing with applications to multi-UAV mission planning. International Journal of Robust and Nonlinear Control 21, 12 (2011), 1372–1395.Google ScholarGoogle ScholarCross RefCross Ref
  15. Diederik P. Kingma and Jimmy Ba. 2014. Adam: A method for stochastic optimization. arXiv preprint arXiv:1412.6980 (2014).Google ScholarGoogle Scholar
  16. M. Kloetzer and C. Belta. 2006. Hierarchical abstractions for robotic swarms. In Proc. of 2006 IEEE International Conference on Robotics and Automation. 952–957. DOI:https://doi.org/10.1109/ROBOT.2006.1641832Google ScholarGoogle Scholar
  17. M. Kloetzer and C. Belta. 2008. A fully automated framework for control of linear systems from temporal logic specifications. IEEE Transactions on Automatic Control 53, 1 (Feb. 2008), 287–297. DOI:https://doi.org/10.1109/TAC.2007.914952Google ScholarGoogle ScholarCross RefCross Ref
  18. Mykel J. Kochenderfer, Jessica E. Holland, and James P. Chryssanthacopoulos. 2012. Next-Generation Airborne Collision Avoidance System. Technical Report. MIT-Lincoln Laboratory, Lexington, KY.Google ScholarGoogle Scholar
  19. M. Z. Li, W. R. Tam, S. M. Prakash, J. F. Kennedy, M. S. Ryerson, D. Lee, and Y. V. Pant. 2018. Design and implementation of a centralized system for autonomous unmanned aerial vehicle trajectory conflict resolution. In Proceedings of IEEE National Aerospace and Electronics Conference.Google ScholarGoogle Scholar
  20. Johan Lofberg. 2004. YALMIP: A toolbox for modeling and optimization in MATLAB. In 2004 IEEE International Conference on Robotics and Automation (IEEE Cat. No. 04CH37508). IEEE, 284–289.Google ScholarGoogle ScholarCross RefCross Ref
  21. Teppo Luukkonen. 2011. Modelling and control of quadcopter. Independent Research Project in Applied Mathematics, Espoo 22 (2011).Google ScholarGoogle Scholar
  22. Xiaobai Ma, Ziyuan Jiao, and Zhenkai Wang. 2016. Decentralized prioritized motion planning for multiple autonomous UAVs in 3D polygonal obstacle environments. In International Conference on Unmanned Aircraft Systems.Google ScholarGoogle ScholarCross RefCross Ref
  23. Oded Maler and Dejan Nickovic. 2004. Monitoring Temporal Properties of Continuous Signals. Springer, Berlin.Google ScholarGoogle Scholar
  24. G. Manfredi and Y. Jestin. 2016. An introduction to ACAS Xu and the challenges ahead. In 2016 IEEE/AIAA 35th Digital Avionics Systems Conference (DASC'16). 1–9. DOI:https://doi.org/10.1109/DASC.2016.7778055Google ScholarGoogle ScholarCross RefCross Ref
  25. Mark W. Mueller, Markus Hehn, and Raffaello D'Andrea. 2015. A computationally efficient motion primitive for quadrocopter trajectory generation. IEEE Transactions on Robotics 31, 6 (2015), 1294–1310.Google ScholarGoogle ScholarDigital LibraryDigital Library
  26. NASA. 2018. Executive Briefing: Urban Air Mobility (UAM) Market Study. https://www.nasa.gov/sites/default/files/atoms/files/bah_uam_executive_briefing_181005_tagged.pdf.Google ScholarGoogle Scholar
  27. Yash Vardhan Pant, Houssam Abbas, and Rahul Mangharam. 2017. Smooth operator: Control using the smooth robustness of temporal logic. In 2017 IEEE Conference on Control Technology and Applications. IEEE, 1235–1240.Google ScholarGoogle ScholarCross RefCross Ref
  28. Yash Vardhan Pant, Houssam Abbas, Kartik Mohta, Truong X. Nghiem, Joseph Devietti, and Rahul Mangharam. 2015. Co-design of anytime computation and robust control. In 2015 IEEE Real-Time Systems Symposium. IEEE, 43–52. Google ScholarGoogle ScholarDigital LibraryDigital Library
  29. Yash Vardhan Pant, Houssam Abbas, Rhudii A. Quaye, and Rahul Mangharam. 2018. Fly-by-logic: Control of multi-drone fleets with temporal logic objectives. In Proceedings of the 9th ACM/IEEE International Conference on Cyber-Physical Systems. IEEE Press, 186–197. Google ScholarGoogle ScholarDigital LibraryDigital Library
  30. V. Raman, A. Donze, M. Maasoumy, R. M. Murray, A. Sangiovanni-Vincentelli, and S. A. Seshia. 2014. Model predictive control with signal temporal logic specifications. In 53rd IEEE Conference on Decision and Control. 81–87. DOI:https://doi.org/10.1109/CDC.2014.7039363Google ScholarGoogle ScholarCross RefCross Ref
  31. Vasumathi Raman, Alexandre Donzé, Mehdi Maasoumy, Richard M. Murray, Alberto Sangiovanni-Vincentelli, and Sanjit A. Seshia. 2014. Model predictive control with signal temporal logic specifications. In 53rd IEEE Conference on Decision and Control. IEEE, 81–87. Google ScholarGoogle ScholarDigital LibraryDigital Library
  32. Alena Rodionova, Yash Vardhan Pant, Kuk Jang, Houssam Abbas, and Rahul Mangharam. 2020. Learning to Fly - Learning-based Collision Avoidance for Scalable Urban Air Mobility. In Proceedings of the IEEE International Conference on Intelligent Transportation Systems. http://arxiv.org/abs/2006.13267.Google ScholarGoogle Scholar
  33. Olga Russakovsky, Jia Deng, Hao Su, Jonathan Krause, Sanjeev Satheesh, Sean Ma, Zhiheng Huang, Andrej Karpathy, Aditya Khosla, Michael Bernstein, Alexander C. Berg, and Li Fei-Fei. 2015. ImageNet large scale visual recognition challenge. International Journal of Computer Vision (IJCV) 115, 3 (2015), 211–252. DOI:https://doi.org/10.1007/s11263-015-0816-y Google ScholarGoogle ScholarDigital LibraryDigital Library
  34. Indranil Saha, Ramaithitima Rattanachai, Vijay Kumar, George J. Pappas, and Sanjit A. Seshia. 2014. Automated composition of motion primitives for multi-robot systems from safe LTL specifications. In IEEE/RSJ International Conference on Intelligent Robots and Systems.Google ScholarGoogle Scholar
  35. S. Saha and A. Agung Julius. 2016. An MILP approach for real-time optimal controller synthesis with Metric Temporal Logic specifications. In Proceedings of the 2016 American Control Conference (ACC'16).Google ScholarGoogle Scholar

Index Terms

  1. Learning-‘N-Flying: A Learning-Based, Decentralized Mission-Aware UAS Collision Avoidance Scheme

        Recommendations

        Comments

        Login options

        Check if you have access through your login credentials or your institution to get full access on this article.

        Sign in

        Full Access

        • Published in

          cover image ACM Transactions on Cyber-Physical Systems
          ACM Transactions on Cyber-Physical Systems  Volume 5, Issue 4
          October 2021
          312 pages
          ISSN:2378-962X
          EISSN:2378-9638
          DOI:10.1145/3481689
          • Editor:
          • Chenyang Lu
          Issue’s Table of Contents

          Copyright © 2021 Association for Computing Machinery.

          Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected].

          Publisher

          Association for Computing Machinery

          New York, NY, United States

          Publication History

          • Published: 22 September 2021
          • Accepted: 1 January 2021
          • Revised: 1 December 2020
          • Received: 1 August 2020
          Published in tcps Volume 5, Issue 4

          Permissions

          Request permissions about this article.

          Request Permissions

          Check for updates

          Qualifiers

          • research-article
          • Refereed
        • Article Metrics

          • Downloads (Last 12 months)22
          • Downloads (Last 6 weeks)2

          Other Metrics

        PDF Format

        View or Download as a PDF file.

        PDF

        eReader

        View online with eReader.

        eReader

        HTML Format

        View this article in HTML Format .

        View HTML Format