skip to main content
10.1145/2902961.2902997acmconferencesArticle/Chapter ViewAbstractPublication PagesglsvlsiConference Proceedingsconference-collections
research-article

Temperature-aware Dynamic Voltage Scaling for Near-Threshold Computing

Authors Info & Claims
Published:18 May 2016Publication History

ABSTRACT

Power/energy reduction is of uttermost importance for applications with stringent power/energy budget such as ultra-low power and energy-harvested systems. Aggressive voltage scaling and in particular Near-Threshold Computing (NTC) is a promising approach to reduce the power and energy consumption. However, reducing the supply voltage leads to drastic performance variation induced by process and runtime variation. Temperature variation is one of the major sources of performance variation. In this paper, we study the impact of temperature variation on the circuit behavior at near threshold region and show that the ambient temperature has a huge impact on the metrics such as circuit delay, power and energy consumption. We also propose a low-cost, ambient temperature-aware voltage scaling technique to reduce the unnecessary energy overhead caused by temperature variation. Simulation results show that our proposed approach reduces the energy consumption by more than 3X.

References

  1. R. G. Dreslinski et al., "Near-threshold computing: Reclaiming moore's law through energy efficient integrated circuits," Proceedings of the IEEE, vol. 98, no. 2, pp. 253--266, 2010.Google ScholarGoogle ScholarCross RefCross Ref
  2. H. Kaul phet al., "Near-threshold voltage (ntv) design: opportunities and challenges," in DAC, 2012. Google ScholarGoogle ScholarDigital LibraryDigital Library
  3. D. Marković phet al., "Ultralow-power design in near-threshold region," Proceedings of the IEEE, vol. 98, no. 2, pp. 237--252, 2010.Google ScholarGoogle ScholarCross RefCross Ref
  4. X. Lin phet al., "Joint sizing and adaptive independent gate control for finfet circuits operating in multiple voltage regimes using the logical effort method," in ICCAD, 2013. Google ScholarGoogle ScholarDigital LibraryDigital Library
  5. L. Chang phet al., "A 5.3 ghz 8t-sram with operation down to 0.41 v in 65nm cmos," in VLSI Circuits, 2007.Google ScholarGoogle Scholar
  6. B. H. Calhoun and A. P. Chandrakasan, "A 256-kb 65-nm sub-threshold sram design for ultra-low-voltage operation," phSolid-State Circuits, IEEE Journal of, vol. 42, no. 3, pp. 680--688, 2007.Google ScholarGoogle Scholar
  7. G. Chen phet al., "Yield-driven near-threshold sram design," phVery Large Scale Integration (VLSI) Systems, IEEE Transactions on, vol. 18, no. 11, pp. 1590--1598, 2010. Google ScholarGoogle ScholarDigital LibraryDigital Library
  8. R. G. Dreslinski phet al., "Reconfigurable energy efficient near threshold cache architectures," in Microarchitecture, 2008. MICRO-41. 2008 41st IEEE/ACM International Symposium on. 1em plus 0.5em minus 0.4em IEEE, 2008, pp. 459--470. Google ScholarGoogle ScholarDigital LibraryDigital Library
  9. B. Zhai et al., "Energy efficient near-threshold chip multi-processing," in Proceedings of the 2007 international symposium on Low power electronics and design. 1em plus 0.5em minus 0.4em ACM, 2007, pp. 32--37. Google ScholarGoogle ScholarDigital LibraryDigital Library
  10. B. Paul et al., "Device optimization for ultra-low power digital sub-threshold operation," in ISLPED, 2004. Google ScholarGoogle ScholarDigital LibraryDigital Library
  11. M. Wieckowski et al., "Timing yield enhancement through soft edge flip-flop based design." in CICC, 2008, pp. 543--546.Google ScholarGoogle Scholar
  12. V. Joshi phet al., "Soft-edge flip-flops for improved timing yield: design and optimization," in ICCAD, 2007. Google ScholarGoogle ScholarDigital LibraryDigital Library
  13. G. Gammie phet al., "A 45nm 3.5 g baseband-and-multimedia application processor using adaptive body-bias and ultra-low-power techniques," in ISSCC, 2008.Google ScholarGoogle Scholar
  14. D. Bortolotti phet al., "An ambient temperature variation tolerance scheme for an ultra low power shared-l1 processor cluster," in Digital System Design (DSD), Euromicro Conference on. 1em plus 0.5em minus 0.4em IEEE, 2013, pp. 625--632. Google ScholarGoogle ScholarDigital LibraryDigital Library
  15. H. Chen phet al., "Opportunistic turbo execution in ntc: exploiting the paradigm shift in performance bottlenecks," in DAC, 2015. Google ScholarGoogle ScholarDigital LibraryDigital Library
  16. "Hotspot," http://lava.cs.virginia.edu/HotSpot/.Google ScholarGoogle Scholar
  17. S. R. Vangal phet al., "A solar-powered 280mv-to-1.2 v wide-operating-range ia-32 processor," in ICICDT, 2014.Google ScholarGoogle Scholar
  18. Y. Liu phet al., "Thermal vs energy optimization for dvfs-enabled processors in embedded systems," in ISQED, 2007. Google ScholarGoogle ScholarDigital LibraryDigital Library
  19. T. S. Muthukaruppan phet al., "Hierarchical power management for asymmetric multi-core in dark silicon era," in DAC, 2013. Google ScholarGoogle ScholarDigital LibraryDigital Library
  20. J. Lee and N. S. Kim, "Optimizing throughput of power-and thermal-constrained multicore processors using dvfs and per-core power-gating," in DAC, 2009. Google ScholarGoogle ScholarDigital LibraryDigital Library
  21. C. R. Lefurgy et al., "Active management of timing guardband to save energy in power7," in MICRO, 2011. Google ScholarGoogle ScholarDigital LibraryDigital Library
  22. ----, "Active guardband management in power7 to save energy and maintain reliability," Micro, IEEE, vol. 33, no. 4, pp. 35--45, 2013. Google ScholarGoogle ScholarDigital LibraryDigital Library

Index Terms

  1. Temperature-aware Dynamic Voltage Scaling for Near-Threshold Computing

        Recommendations

        Comments

        Login options

        Check if you have access through your login credentials or your institution to get full access on this article.

        Sign in
        • Published in

          cover image ACM Conferences
          GLSVLSI '16: Proceedings of the 26th edition on Great Lakes Symposium on VLSI
          May 2016
          462 pages
          ISBN:9781450342742
          DOI:10.1145/2902961

          Copyright © 2016 ACM

          Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

          Publisher

          Association for Computing Machinery

          New York, NY, United States

          Publication History

          • Published: 18 May 2016

          Permissions

          Request permissions about this article.

          Request Permissions

          Check for updates

          Qualifiers

          • research-article

          Acceptance Rates

          GLSVLSI '16 Paper Acceptance Rate50of197submissions,25%Overall Acceptance Rate312of1,156submissions,27%

          Upcoming Conference

          GLSVLSI '24
          Great Lakes Symposium on VLSI 2024
          June 12 - 14, 2024
          Clearwater , FL , USA

        PDF Format

        View or Download as a PDF file.

        PDF

        eReader

        View online with eReader.

        eReader