skip to main content
10.1145/2593069.2593165acmotherconferencesArticle/Chapter ViewAbstractPublication PagesdacConference Proceedingsconference-collections
research-article

NoC-Sprinting: Interconnect for Fine-Grained Sprinting in the Dark Silicon Era

Published:01 June 2014Publication History

ABSTRACT

The rise of utilization wall limits the number of transistors that can be powered on in a single chip and results in a large region of dark silicon. While such phenomenon has led to disruptive innovation in computation, little work has been done for the Network-on-Chip (NoC) design. NoC not only directly influences the overall multi-core performance, but also consumes a significant portion of the total chip power. In this paper, we first reveal challenges and opportunities of designing power-efficient NoC in the dark silicon era. Then we propose NoC-Sprinting: based on the workload characteristics, it explores fine-grained sprinting that allows a chip to flexibly activate dark cores for instantaneous throughput improvement. In addition, it investigates topological/routing support and thermal-aware floorplanning for the sprinting process. Moreover, it builds an efficient network power-management scheme that can mitigate the dark silicon problems. Experiments on performance, power, and thermal analysis show that NoC-sprinting can provide tremendous speedup, increase sprinting duration, and meanwhile reduce the chip power significantly.

References

  1. N. Agarwal, T. Krishna, L.-S. Peh, and N. K. Jha. Garnet: A detailed on-chip network model inside a full-system simulator. In ISPASS, pages 33--42, 2009.Google ScholarGoogle ScholarCross RefCross Ref
  2. C. Bienia. Benchmarking Modern Multiprocessors. PhD thesis, Princeton University, 2011. Google ScholarGoogle ScholarDigital LibraryDigital Library
  3. N. Binkert et al. The gem5 simulator. ACM SIGARCH Computer Architecture News, 39(2):1--7, 2011. Google ScholarGoogle ScholarDigital LibraryDigital Library
  4. L. Chen and T. M. Pinkston. Nord: Node-router decoupling for effective power-gating of on-chip routers. In MICRO-45, pages 270--281, 2012. Google ScholarGoogle ScholarDigital LibraryDigital Library
  5. R. Das, S. Narayanasamy, S. Satpathy, and R. Dreslinski. Catnap: Energy proportional multiple network-on-chip. In ISCA, pages 320--331, 2013. Google ScholarGoogle ScholarDigital LibraryDigital Library
  6. Y. Ding, M. Kandemir, P. Raghavan, and M. J. Irwin. A helper thread based EDP reduction scheme for adapting application execution in CMPs. In IPDPS, pages 1--14, 2008.Google ScholarGoogle ScholarCross RefCross Ref
  7. J. Flich, S. Rodrigo, and J. Duato. An efficient implementation of distributed routing algorithms for nocs. In NoCs, pages 87--96, 2008. Google ScholarGoogle ScholarDigital LibraryDigital Library
  8. Y. Hoskote, S. Vangal, A. Singh, N. Borkar, and S. Borkar. A 5-ghz mesh interconnect for a teraflops processor. Micro, IEEE, 27(5):51--61, 2007. Google ScholarGoogle ScholarDigital LibraryDigital Library
  9. W. Huang et al. HotSpot: A compact thermal modeling methodology for early-stage VLSI design. IEEE Trans. on VLSI, 14(5):501--513, 2006. Google ScholarGoogle ScholarDigital LibraryDigital Library
  10. N. Jiang et al. A detailed and flexible cycle-accurate network-on-chip simulator. In ISPASS, pages 86--96, 2013.Google ScholarGoogle ScholarCross RefCross Ref
  11. T. Krishna et al. Single-Cycle Multihop Asynchronous Repeated Traversal: A SMART Future for Reconfigurable On-Chip Networks. Computer, 46(40):48--55, 2013. Google ScholarGoogle ScholarDigital LibraryDigital Library
  12. J. Li and J. F. Martinez. Dynamic power-performance adaptation of parallel computation on chip multiprocessors. In HPCA, pages 77--87, 2006.Google ScholarGoogle Scholar
  13. S. Li et al. McPAT: an integrated power, area, and timing modeling framework for multicore and manycore architectures. In MICRO-42, pages 469--480, 2009. Google ScholarGoogle ScholarDigital LibraryDigital Library
  14. H. Matsutani, M. Koibuchi, D. Wang, and H. Amano. Run-time power gating of on-chip routers using look-ahead routing. In ASP-DAC, pages 55--60, 2008. Google ScholarGoogle ScholarDigital LibraryDigital Library
  15. T. G. Mattson et al. The 48-core scc processor: the programmer's view. In SC, pages 1--11, 2010. Google ScholarGoogle ScholarDigital LibraryDigital Library
  16. U. G. Nawathe et al. Implementation of an 8-core, 64-thread, power-efficient sparc server on a chip. IEEE JSSC, 43(1):6--20, 2008.Google ScholarGoogle ScholarCross RefCross Ref
  17. A. Raghavan et al. Computational sprinting. In HPCA, pages 1--12, 2012. Google ScholarGoogle ScholarDigital LibraryDigital Library
  18. A. Samih et al. Energy-efficient interconnect via router parking. In HPCA, pages 508--519, 2013. Google ScholarGoogle ScholarDigital LibraryDigital Library
  19. C. Sun et al. DSENT-a tool connecting emerging photonics with electronics for opto-electronic networks-on-chip modeling. In NoCS, pages 201--210, 2012. Google ScholarGoogle ScholarDigital LibraryDigital Library
  20. M. B. Taylor et al. The Raw microprocessor: A computational fabric for software circuits and general-purpose programs. Micro, IEEE, 22(2):25--35, 2002. Google ScholarGoogle ScholarDigital LibraryDigital Library
  21. G. Venkatesh et al. Conservation cores: reducing the energy of mature computations. ACM SIGARCH Computer Architecture News, 38(1):205--218, 2010. Google ScholarGoogle ScholarDigital LibraryDigital Library

Index Terms

  1. NoC-Sprinting: Interconnect for Fine-Grained Sprinting in the Dark Silicon Era

      Recommendations

      Comments

      Login options

      Check if you have access through your login credentials or your institution to get full access on this article.

      Sign in
      • Published in

        cover image ACM Other conferences
        DAC '14: Proceedings of the 51st Annual Design Automation Conference
        June 2014
        1249 pages
        ISBN:9781450327305
        DOI:10.1145/2593069

        Copyright © 2014 ACM

        Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than the author(s) must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected].

        Publisher

        Association for Computing Machinery

        New York, NY, United States

        Publication History

        • Published: 1 June 2014

        Permissions

        Request permissions about this article.

        Request Permissions

        Check for updates

        Qualifiers

        • research-article
        • Research
        • Refereed limited

        Acceptance Rates

        Overall Acceptance Rate1,770of5,499submissions,32%

      PDF Format

      View or Download as a PDF file.

      PDF

      eReader

      View online with eReader.

      eReader