skip to main content
10.1145/1837274.1837278acmconferencesArticle/Chapter ViewAbstractPublication PagesdacConference Proceedingsconference-collections
research-article

Post-silicon validation challenges: how EDA and academia can help

Published:13 June 2010Publication History

ABSTRACT

The challenges of post-silicon validation are continuously increasing, driven by higher levels of integration, increased circuit complexity, and platform performance requirements. The pressure of maintaining aggressive launch schedules and containing an increased cost of validation and debug, require a holistic approach to the entire design and validation process. Post-silicon validation is very diverse, and the work starts well before first silicon is available---for example, emulation, design-for-validation (DFV) features, specialized content development, etc. This will require enhancing pre-tape out validation to have healthier first silicon, developing more standard interfaces to our validation hooks, developing more predictive tools for circuit and platform simulation and post-silicon debug, adding more formal coverage methods, and improving survivability to mitigate in-the-field issues. We view the Electronic Design Automation (EDA) industry as a key enabler to help us bridge the gaps between presilicon and post-silicon validation, and extend the considerable intellectual wealth in pre-silicon tools to the post-silicon validation area.

References

  1. Intel Corp. 2003. Intel Platform and Component Validation, http://download.intel.com/design/chipsets/labtour/PVPT_WhitePaper.pdfGoogle ScholarGoogle Scholar
  2. Bentley B. and Gray R. 2001. Validating the Pentium®4 Processor. Proceedings of the 38th annual Design Automation Conference, Las Vegas, Nevada, United States: ACM, 2001, pp. 244--248. Google ScholarGoogle ScholarDigital LibraryDigital Library
  3. Silas, I., Frumkin, I., Hazan, E., Mor, E., and Zobin, G., System-Level Validation of the Intel® Pentium® M Processor, Intel Technology Journal, Vol. 7, Issue 2, May 2003 URL: http://developer.intel.com/technology/itj/index.htmGoogle ScholarGoogle Scholar
  4. Gray, R. 2008 Post-Silicon Validation Experience: History, Trends, and Challenges. GSRC Workshop on Post-Si Validation, Anaheim, June 9, 2008.Google ScholarGoogle Scholar
  5. Patra, P 2007. On the Cusp of a Validation Wall. Design & Test of Computers, IEEE, 24(2), 193--196. Google ScholarGoogle ScholarDigital LibraryDigital Library
  6. Keshava, J. 2009 Post-Silicon Validation Challenges. International Test Conference 2009, ITC'09, Nov. 1, 2009Google ScholarGoogle Scholar
  7. Tiruvallur K. 2009. Beyond Design...Challenges of IA Platform Productization. International Conference on Computer-Aided Design 2009, Nov. 2009.Google ScholarGoogle Scholar
  8. Yerramilli, S, 2006. On the Need for Convergence Between Design Validation and Test. In International Test Conference, 2006, ITC'06, 2006, p 14.Google ScholarGoogle Scholar
  9. S. Tasiran and K. Keutzer. Coverage metrics for functional validation of hardware designs. IEEE Design & Test of Computers, 18(7):36--45, Jul/Aug 2001. Google ScholarGoogle ScholarDigital LibraryDigital Library
  10. Bojan, T., Aguilar Arreola, M., Shlomo, E., and Shachar, T. 2007. Functional coverage measurements and results in post-Silicon validation of Core#8482; 2 Duo family. In Proceedings of the 2007 IEEE international High Level Design Validation and Test Workshop (November 07--09, 2007). HLDVT. IEEE Computer Society, Washington, DC, 145--150. Google ScholarGoogle ScholarDigital LibraryDigital Library
  11. Nejedlo, J.; Khanna, R.; "Intel® IBIST, the full vision realized," Test Conference, 2009. ITC 2009. International, vol., no., pp. 1--11, 1--6 Nov. 2009 doi: 10.1109/TEST.2009.5355667Google ScholarGoogle Scholar
  12. Park, S. and Mitra, S. 2008. IFRA: instruction footprint recording and analysis for post-silicon bug localization in processors," Proceedings of the 45th annual conference on Design automation, Anaheim, California: ACM, 2008, pp. 373--378. Google ScholarGoogle ScholarDigital LibraryDigital Library
  13. Abramovici, M., "A silicon validation and debug solution with great benefits and low costs," International Test Conference, 2007. ITC 2007. IEEE International, 2007, p. 1.Google ScholarGoogle Scholar
  14. E. Anis Daoud and N. Nicolici, "Real-Time Lossless Compression for Silicon Debug," IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol. 28, no. 9, pp. 1387--1400, Sep 2009. Google ScholarGoogle ScholarDigital LibraryDigital Library
  15. Park, S. et al. 2009. Post-Silicon Bug Localization in Processors Using Instruction Footprint Recording and Analysis (IFRA). Computer-Aided Design of Integrated Circuits and Systems, IEEE Transactions on, 28(10), 1545--1558 Google ScholarGoogle ScholarDigital LibraryDigital Library
  16. H. F. Ko and N. Nicolici, "Algorithms for State Restoration and Trace Signals Selection for Data Acquisition in Silicon Debug," IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol. 28, no. 2, pp. 285--297, Feb 2009. Google ScholarGoogle ScholarDigital LibraryDigital Library
  17. Chang K., Bertacco, V. Markov, I, 2005. "Simulation-based bug trace minimization with BMC-based refinement," International Conference on Computer-Aided Design, pp. 1045--1051, Nov 6--10, 2005 Google ScholarGoogle ScholarDigital LibraryDigital Library
  18. Ho, R. et al. 2009. Post-silicon debug using formal verification waypoints. Design and Validation Conference, 2009, DV Con 2009Google ScholarGoogle Scholar
  1. Post-silicon validation challenges: how EDA and academia can help

    Recommendations

    Comments

    Login options

    Check if you have access through your login credentials or your institution to get full access on this article.

    Sign in
    • Published in

      cover image ACM Conferences
      DAC '10: Proceedings of the 47th Design Automation Conference
      June 2010
      1036 pages
      ISBN:9781450300025
      DOI:10.1145/1837274

      Copyright © 2010 ACM

      Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

      Publisher

      Association for Computing Machinery

      New York, NY, United States

      Publication History

      • Published: 13 June 2010

      Permissions

      Request permissions about this article.

      Request Permissions

      Check for updates

      Qualifiers

      • research-article

      Acceptance Rates

      Overall Acceptance Rate1,770of5,499submissions,32%

      Upcoming Conference

      DAC '24
      61st ACM/IEEE Design Automation Conference
      June 23 - 27, 2024
      San Francisco , CA , USA

    PDF Format

    View or Download as a PDF file.

    PDF

    eReader

    View online with eReader.

    eReader