skip to main content
10.1145/2627369.2627629acmconferencesArticle/Chapter ViewAbstractPublication PagesislpedConference Proceedingsconference-collections
research-article

Approximate compressed sensing: ultra-low power biosignal processing via aggressive voltage scaling on a hybrid memory multi-core processor

Published:11 August 2014Publication History

ABSTRACT

Technology scaling enables the design of low cost biosignal processing chips suited for emerging wireless body-area sensing applications. Energy consumption severely limits such applications and memories are becoming the energy bottleneck to achieve ultra-low-power operation. When aggressive voltage scaling is used, memory operation becomes unreliable due to the lack of sufficient Static Noise Margin. This paper introduces an approximate biosignal Compressed Sensing approach. We propose a digital architecture featuring a hybrid memory (6T-SRAM/SCMEM cells) designed to control perturbations on specific data structures. Combined with a statistically robust reconstruction algorithm, the system tolerates memory errors and achieves significant energy savings with low area overhead.

References

  1. http://www.who.int/mediacentre/factsheets/fs317/en.Google ScholarGoogle Scholar
  2. Ashouei, M. et al., "A voltage-scalable biomedical signal processor running ECG using 13pJ/cycle at 1MHz and 0.4 V", ISSCC, 2011.Google ScholarGoogle Scholar
  3. Rooseleer, B. and Wim D., "A 40 nm, 454MHz 114 fJ/bit area-efficient SRAM memory with integrated charge pump", ESSCIRC, 2013.Google ScholarGoogle ScholarCross RefCross Ref
  4. Sharma, V. et al. "8T SRAM with mimicked negative bit-lines and charge limited sequential sense amplifier for wireless sensor nodes", ESSCIRC, 2011.Google ScholarGoogle Scholar
  5. Verma, N., and A. P. Chandrakasan, "A 256 kb 65 nm 8T subthreshold SRAM employing sense-amplifier redundancy", Solid-State Circuits, IEEE Journal of 43.1 (2008): 141--149.Google ScholarGoogle ScholarCross RefCross Ref
  6. Andersson, O. et al., "Dual-VT 4kb sub-VT memories with < 1pW/bit leakage in 65 nm CMOS", ESSCIRC, 2013.Google ScholarGoogle Scholar
  7. Mamaghanian, H. et al., "Compressed sensing for real-time energy-efficient ECG compression on wireless body sensor nodes", IEEE Transactions Biomedical Engineering, vol. 58, no.9 pp. 2456--2466, 2011.Google ScholarGoogle ScholarCross RefCross Ref
  8. Dreslinkski, R. G., et al., "An energy efficient parallel architecture using near threshold operation", PACT, 2007. Google ScholarGoogle ScholarDigital LibraryDigital Library
  9. Gemmeke, T. et al., "Resolving the Memory Bottleneck for Single Supply Near-Threshold Computing", DATE, 2014. Google ScholarGoogle ScholarDigital LibraryDigital Library
  10. Dogan A.Y. et al., "Multi-core architecture design for ultra-low-power wearable health monitoring systems", DATE, 2012. Google ScholarGoogle ScholarDigital LibraryDigital Library
  11. Calhoun, B. H. et al., "Analyzing static noise margin for sub-threshold SRAM in 65nm CMOS", ESSCIRC, 2005.Google ScholarGoogle Scholar
  12. Chang I.J. et al., "A Priority-Based 6T/8T Hybrid SRAM Architecture for Aggressive Voltage Scaling in Video Applications", IEEE transactions on circuits and systems for video technology, vol. 21, no. 2, Feb 2011. Google ScholarGoogle ScholarDigital LibraryDigital Library
  13. Bortolotti D. et al., "Hybrid memory architecture for voltage scaling in ultra-low power multi-core biomedical processors", DATE, 2014. Google ScholarGoogle ScholarDigital LibraryDigital Library
  14. Gupta, V. et al., "IMPACT: imprecise adders for low-power approximate computing", ISLPED, 2011. Google ScholarGoogle ScholarDigital LibraryDigital Library
  15. Bortolotti D. et al., "VirtualSoC: a Full-System SimulationEnvironment for Massively Parallel Heterogeneous System-on-Chip", IPDPWS, 2013. Google ScholarGoogle ScholarDigital LibraryDigital Library
  16. Beck A. and Teboulle M., "Fast iterative shrinkage-thresholding algorithm with application to wavelet-based image deblurring", ICASSP, 2009. Google ScholarGoogle ScholarDigital LibraryDigital Library
  17. Candes E. et al., "Stable signal recovery from incomplete and inaccurate measurements", Communications on Pure and Applied Mathematics, 59:pages 1207--1223, 2006.Google ScholarGoogle ScholarCross RefCross Ref
  18. Chandar V., "A negative result concerning explicit matrices with the restricted isometry property", Tech. report, 2008.Google ScholarGoogle Scholar
  19. Nesterov, Y. "A method of solving a convex programming problem with convergence rate O(1/k2)", Soviet Mathematics Doklady. Vol. 27. No. 2. 1983.Google ScholarGoogle Scholar
  20. Goldberger A. L. et al., "Physiobank, physiotoolkit, and physionet components of a new research resource for complex physiologic signals";, Circulation,101(23):pp. 215--220, 2000.Google ScholarGoogle ScholarCross RefCross Ref
  21. Mamaghanian H. et al., "Power-efficient joint compressed sensing of multi-lead ecg signals", ICASSP, 2014.Google ScholarGoogle ScholarCross RefCross Ref
  22. Kowalski M. et al., "Sparsity and persistence: mixed norms provide simple signal models with dependent coefficients", Signal, Image and Video Processing, 3(3):pages 251--264, 2009.Google ScholarGoogle ScholarCross RefCross Ref
  23. Lin Z. et al., "The augmented lagrange multiplier method for exact recovery of corrupted low-rank matrices", arXiv preprint arXiv:1009.5055, 2010.Google ScholarGoogle Scholar
  24. Zhu, H. et al., "Sparsity-cognizant total least-squares for perturbed compressive sampling", Signal Processing, IEEE Transactions on 59, no 5 (2011): pp. 2002--2016. Google ScholarGoogle ScholarDigital LibraryDigital Library

Index Terms

  1. Approximate compressed sensing: ultra-low power biosignal processing via aggressive voltage scaling on a hybrid memory multi-core processor

    Recommendations

    Comments

    Login options

    Check if you have access through your login credentials or your institution to get full access on this article.

    Sign in
    • Published in

      cover image ACM Conferences
      ISLPED '14: Proceedings of the 2014 international symposium on Low power electronics and design
      August 2014
      398 pages
      ISBN:9781450329750
      DOI:10.1145/2627369

      Copyright © 2014 ACM

      Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

      Publisher

      Association for Computing Machinery

      New York, NY, United States

      Publication History

      • Published: 11 August 2014

      Permissions

      Request permissions about this article.

      Request Permissions

      Check for updates

      Qualifiers

      • research-article

      Acceptance Rates

      ISLPED '14 Paper Acceptance Rate63of184submissions,34%Overall Acceptance Rate398of1,159submissions,34%

      Upcoming Conference

      ISLPED '24

    PDF Format

    View or Download as a PDF file.

    PDF

    eReader

    View online with eReader.

    eReader