Skip to main content
Log in

High-precision nanoscale length measurement

  • Published:
Nanotechnologies in Russia Aims and scope Submit manuscript

Abstract

Modern lithographical methods used to create linear measures for nanometer-range dimensions and the main factors which limit the applications of such gages have been analyzed in the paper. Prospects for developing high-precision measures based on an atomically structured crystalline surface (containing monoatomic steps) whose parameters are bound to the crystallographic parameters of the crystal (traceable to the length measure) are shown. A method which can be used to create such measures based on controlling the surface morphology of monocrystalline silicon at an atomic level due to the effects of self-organization arising at the atomically clean surface as a result of annealing in ultrahigh vacuum is proposed. A description of the set of high-precision gages of vertical dimensions STEPP-IFP-1 in a size range of 0.31–31 nm with an error in the whole interval of gages of less than 0.05 nm is presented. The set of high-precision gages after carrying out state testing is included into the state registry of measuring means as measuring type no. 48115-11 (Federal Agency on Technical Regulating and Metrology order no. 6290 of October 31, 2011).

This is a preview of subscription content, log in via an institution to check access.

Access this article

Price excludes VAT (USA)
Tax calculation will be finalised during checkout.

Instant access to the full article PDF.

Similar content being viewed by others

References

  1. A. A. Michelson, The relative motion of the Earth and the luminiferous ether,” Am. J. Sci. 22, 120–129 (1881).

    Article  Google Scholar 

  2. V. P. Linnik, “A device for reflecting objects interference investigation by microscope (“Microinterferometr”),” Dokl. Akad. Nauk SSSR, No. 1, 18–23 (1933).

    Google Scholar 

  3. GOST (State Standard) no. 8.417-2002: Units of Measurements (2002).

  4. D. A. Jennings, C. R. Pollock, F. R. Peterson, R. E. Drullinger, K. M. Evenson, J. S. Wells, J. L. Hall, and H. P. Layer, “Direct frequency measurement of the I2-stabilized He-Ne 473-THz (633 nm) laser,” Opt. Lett. 8, 3 (1983).

    Article  Google Scholar 

  5. T. J. Quinn, “Mise-en-pratique of the definition of the meter,” Metrologia 30(5), 523 (1994).

    Article  Google Scholar 

  6. Recommendation CCL1 (BIPM Com. Cons. Long.). 9th Meeting. 1997.

  7. A. M. Bukhtiyarov, R. I. Kvon, A. V. Nartova, and V. I. Bukhtiyarov, “An XPS and STM study of the size effect in NO adsorption on gold nanoparticles,” Russ. Chem. Bull. 60(10), 1977 (2012)

    Article  Google Scholar 

  8. A. V. Bukhtiyarov, S. S. Kosolobov, A. V. Nartova, and R. I. Kvon, “Nitrogen oxide absorption by modeling gold catalysts,” Vestn. Novosib. State Univ. Special Issue 2, 14–17 (2009).

    Google Scholar 

  9. D. A. Medvedeva, M. A. Maslov, R. N. Serikov, N. G. Morozova, G. A. Serebrenikova, D. V. Sheglov, A. V. Latyshev, V. V. Vlassov, and M. A. Zenkova, “Novel cholesterol-based cationic lipids for gene delivery,” J. Med. Chem. 52(21), 6558 (2009).

    Article  CAS  Google Scholar 

  10. M. V. Alfimov, R. M. Kadushnikov, N. A. Shturkin, V. M. Alievskii, and P. V. Lebedev-Stepanov, “Simulation modeling of nanoparticles self organization,” Ross. Nanotekhnol. 1(1–2), 127–133 (2006).

    Google Scholar 

  11. P. V. Lebedev-Stepanov, R. M. Kadushnikov, S. P. Molchanov, N. I. Rubin, N. A. Shturkin, and M. V. Alfimov, “The way to simulate self arrangement of micro- and nanoparticles ensembles in evaporating micro-drop of solution,” Ross. Nanotekhnol. 6(1–2), 83–88 (2011).

    Google Scholar 

  12. A. V. Latyshev, “Diagnostics and lithography of semiconductor structures for microelectronics,” Ross. Nanotekhnol. 3(5–6), 78–96 (2008).

    Google Scholar 

  13. O. A. Tkachenko, V. A. Tkachenko, Z. D. Kvon, A. V. Latyshev, and A. L. Aseev, “Introscopy of quantum nanoelectronic devices,” Ross. Nanotekhnol. 5(9–10), 117–127 (2010).

    Google Scholar 

  14. E. Manske, G. Jager, T. Hausotte, and R. Fussl, “Recent developments and challenges of nanopositioning and nanomeasuring technology,” Measur. Sci. Technol. 23(7), 074001 (2012).

    Article  Google Scholar 

  15. P. A. Todua, “Metrology in nanotechnology,” Ross. Nanotekhnol. 2(1–2), 61–69 (2007).

    Google Scholar 

  16. P. A. Todua, V. A. Bykov, Ch. P. Volk, E. S. Gornev, Zh. Zhelkobaev, L. M. Zykin, A. B. Ishanov, V. V. Kalendin, Yu. A. Novikov, Yu. V. Ozerin, Yu. I. Plotnikov, A. M. Prokhorov, A. V. Rakov, S. A. Saunin, and V. N. Chernyakov, “Metrological support for measuring lengths in micrometer and nanometer ranges and their introduction in microelectronic and nanotechnology,” Mikrosistemn. Tekhn., No. 1, 38–44, No. 2, 24–39, No. 3, 25–32 (2004).

    Google Scholar 

  17. P. Todua, “Metrology and standartization in nanotechnologies,” Tekhnol. Oborud. Tekhnol., No. 1 (2010).

    Google Scholar 

  18. J. Hrabina, J. Lazar, P. Klapetek, and O. Cip, “Multidimensional interferometric tool for the local probe microscopy nanometrology,” Measur. Sci. Tech. 22(9), 094030 (2011).

    Article  Google Scholar 

  19. T. Guo, S. Wang, D. J. Dorantes-Gonzalez, J. Chen, and X. Fu, “Development of a hybrid atomic force microscopic measurement system combined with white light scanning interferometry,” Sensors 12(1), 175188 (2012).

    Google Scholar 

  20. S. Ducourtieux and B. Poyet, “Development of a metrological atomic force microscope with minimized Abbe error and differential interferometer-based realtime position control,” Measur. Sci. Technol. 22(9), 094010 (2011).

    Article  Google Scholar 

  21. V. Korpelainen, J. Seppa, and A. Lassila, “Measurement strategies and uncertainty estimations for pitch and step height calibrations by metrological atomic force microscope,” J. Micro-Nanolithogr. MEMS MOEM 11(1), 011002 (2012).

    Article  Google Scholar 

  22. G. Dai, T. Dziomba, F. Pohlenz, H.-U. Danzebrink, and L. Koenders, “Metrological AFMs and its application for versatile nano-dimensional metrology tasks,” Proc. SPIE 7544, 754446 (2010).

    Article  Google Scholar 

  23. M. V. Cresswell, R. A. Allen, W. F. Guthrie, C. E. Dixson, G. Ronald, and A. Hunt, Comparison of SEM and HRTEM CD measurements extracted from test structures having feature linewidths from 40 to 240 nm,” IEEE Trans. Instr. Measur. 57(1), 100–109 (2008).

    Article  Google Scholar 

  24. M. Toth, C. J. Lobo, W. R. Knowles, M. R. Phillips, M. T. Postek, and A. E. Vladar, “Nanostructure fabrication by ultra-high-resolution environmental scanning electron microscopy,” Nano Lett. 7(2), 525–530 (2007).

    Article  CAS  Google Scholar 

  25. M. A. Danilova, V. B. Mityukhlyaev, Yu. A. Novikov, Yu. V. Ozerin, A. V. Rakov, and P. A. Todua, “Test-object with band width less than 10nm for scanning electron microscopy,” Izmerit. Tekhn., No. 8, 20–23 (2008).

    Google Scholar 

  26. A. V. Zablotskii, A. S. Baturin, V. S. Bormashov, R. M. Kadushnikov, and N. A. Shturkin, “Computer simulation of scanning electron microscope for nanometrology,” Ross. Nanotekhnol. 2(11–12), 40–48 (2007).

    Google Scholar 

  27. F. Meli, “International comparison in the field of nanometrology: pitch of 1D gratings (Nano4),” in Proc. 2nd Euspen (European Society for Precision Engineering) Int. Conf. (Turin, 2001), pp. 358–361.

    Google Scholar 

  28. I. Misumi, G. Dai, and G.-S. Peng, “Final report on Supplementary Comparison APMP.L-S2: Bilateral comparison on pitch measurements of nanometric lateral scales (50 nm and 100 nm) between NMIJ/AIST (Japan) and PTB (Germany),” Metrologia 44, 04006 (2007).

    Article  Google Scholar 

  29. I. Misumi, G. Dai, M. Lu, O. Sato, K. Sugawara, S. Gonda, T. Takatsuji, H. S. Danzebrink, and L. Koenders, “Bilateral comparison of 25 nm pitch nanometric lateral scales for metrological scanning probe microscopes,” Measur. Sci. Techn. 21(3), 035105 (2010).

    Article  Google Scholar 

  30. R. Dixson, D. A. Chernoff, S. Wang, T. V. Vorburger, S. L. Tan, N. Orji, and J. Fu, “Multilaboratory comparison of traceable atomic force microscope measurements of a 70 nm grating pitch standard,” J. Micro/Nanolithogr., MEMS, MOEMS 10, 013015 (2011).

    Article  Google Scholar 

  31. J. Garnaes, N. Kofod, J. F. Jorgensen, A. Kuhle, P. Besmens, O. Ohlsson, J. B. Rasmussen, P. E. Lindelof, G. Wilkening, L. Koenders, W. Mirande, K. Hasche, J. Haycocks, J. Nunn., and M. Stedman, “Nanometre scale transfer standards,” Precision Eng. Nanotech. 2, 134–137 (1999).

    Google Scholar 

  32. State Register of the International System of Units no. 33598-06.

  33. Yu. A. Novikov, Yu. V. Ozerin, A. V. Rakov, and P. A. Todua, “Method for linear measurements in the nanometre range,” Measur. Sci. Technol. 18(2), 367–374 (2007).

    Article  CAS  Google Scholar 

  34. Yu. A. Novikov, V. P. Gavrilenko, A. V. Rakov, and P. A. Todua, “Test objects with right-angled and trapezoidal profiles of the relief elements,” in Instrumentation, Metrology, and Standards for Nanomanufacturing II Book Series: Proc. Soc. of Photo-Optical Instrumentation Engineers (SPIE) (2008), Vol. 7042, p. 704208.

    Article  Google Scholar 

  35. V. P. Gavrilenko, Yu. A. Novikov, A. V. Rakov, and P. A. Todua, “Test objects for calibration of SEMs and AFMs operating at the nanoscale,” Opt. Micro-Nanometrol. III Book Ser.: Proc. SPIE 7718, 77180 (2010).

    Article  Google Scholar 

  36. State Register of the International System of Units no. 41678-09.

  37. A. Datta and A. Shaji, “Quantum metrology without quantum entanglement,” Mod. Phys. Lett. B 26(18), 1230010 (2012).

    Article  Google Scholar 

  38. V. Junk and E. Komatsu, “Cosmic microwave background bispectrum from the lensing-Rees-Sciama correlation reexamined: Effects of nonlinear matter clustering,” Phys. Rev. D 85(12), 123524 (2012).

    Article  Google Scholar 

  39. G. Binnig, H. Rorer, Ch. Gerber, and E. Weibel, Phys. Rev. Lett. 49, 57 (1982).

    Article  Google Scholar 

  40. G. Binnig, C. F. Quate, and Ch. Gerber, “Atomic force microscope,” Phys. Rev. Lett. 56(9), 930 (1986).

    Article  Google Scholar 

  41. D. M. Eigler and E. K. Schweizer, Nature 344, 524 (1990).

    Article  CAS  Google Scholar 

  42. B. Andreas, Y. Azuma, G. Bartl, P. Becker, H. Bettin, M. Borys, I. Busch, P. Fuchs, K. Fujii, H. Fujimoto, E. Kessler, M. Krumrey, U. Kuetgens, N. Kuramoto, G. Mana, E. Massa, S. Mizushima, A. Nicolaus, A. Picard, A. Pramann, O. Rienitz, D. Schiel, S. Valkiers, A. Waseda, and S. Zakel, “Counting the atoms in a 28Si crystal for a new kilogram definition,” Metrologia 48(2), 1–13 (2011).

    Article  Google Scholar 

  43. T. P. Hill, J. Miller, and A. C. Censullo, “Towards a better definition of the kilogram,” Metrologia 48(2), 83–86 (2011).

    Article  Google Scholar 

  44. F. Atamny, et al., “On the imaging mechanism of monatomic steps in graphite,” Appl. Phys. A 71, 441 (2000).

    Article  CAS  Google Scholar 

  45. T. Fujii, K. Imabori, H. Kawakatsu, S. Watanabe, and H. Bleuler, “Atomic force microscope for direct comparison measurement of step height and crystalline lattice spacing,” Nanotechnol. 10(4), 380–384 (1999).

    Article  CAS  Google Scholar 

  46. M. Suzuki, S. Aoyama, T. Futatsuki, A. J. Kelly, T. Osada, A. Nakano, Y. Sakakibara, Y. Suzuki, H. Takami, T. Takenobu, and M. Yasutake, “Standardized procedure for calibrating height scales in atomic force microscopy on the order of 1nm, J. Vac. Scie. Technol. A 14(3), 1228 (1996).

    Article  CAS  Google Scholar 

  47. H. F. Wolf, Silicon Semiconductor Data (Oxford, New York, 1965).

    Google Scholar 

  48. V. W. Tsai, T. Vorburger, R. Dixson, J. Fu, R. Koning, R. Silver, and E. D. Williams, “The study of silicon stepped surfaces as atomic force microscope calibration standards with a calibrated AFM at NIST. Characterization and metrology for ULSI technology,” AIP Conf. Proc. 449, 839–842 (1998).

    CAS  Google Scholar 

  49. R. Dixson, N. G. Orji, J. Fu, V. Tsai, E. D. Williams, R. Kacker, T. Vorburger, H. Edwards, D. Cook, P. West, and R. Nyffenegger, “Silicon single atom steps as AFM height standards. Metrology, inspection, and process control for microlithography,” 15th Proc. SPIE 4344, 157–168 (2001).

    Article  Google Scholar 

  50. N. G. Orji, R. G. Dixson, J. Fu, and T. V. Vorburger, “Traceable pico-meter level step height metrology,” Wear 257(12), 1264–1269 (2004).

    Article  CAS  Google Scholar 

  51. www.mikroskope.ru/lomo-micro-mii4m.html

  52. M. Noguchi and M. Oono, US Patent 5432606 (1995).

  53. D. K. Cohen, P. J. Caber, and C. P. Brophy, US Patent 5355221 (1993).

  54. E. V. Sysoev, et al., RF Patent 2245515 (2005).

  55. A. Bergamin, G. Cavagnero, G. Mana, and G. Zosi, “Lattice parameter and thermal expansion of monocrystalline silicon,” J. Appl. Phys. 82(11), 5396–5400 (1997).

    Article  CAS  Google Scholar 

  56. www.ntmdt-tips.com/catalog/golden.html

  57. A. V. Latyshev, A. L. Aseev, A. B. Krasilnikov, and S. I. Stenin, Surf. Sci. 213, 157 (1989).

    Article  CAS  Google Scholar 

  58. S. Stoyanov, Jpn. J. Appl. Phys. 29, L659 (1990).

    Article  CAS  Google Scholar 

  59. A. Natori, H. Fujimura, and H. Yasunaga, Jpn. J. Appl. Phys. 31, 1164 (1992).

    Article  CAS  Google Scholar 

  60. S. V. Khare, T. L. Einstein, and N. C. Bartelt, Surf. Sci. 339, 353 (1995).

    Article  CAS  Google Scholar 

  61. D. Kandel and J. D. Weeks, Phys. Rev. Lett. 72, 1678 (1994).

    Article  CAS  Google Scholar 

  62. A. V. Latyshev, H. Minoda, Y. Tanishiro, and K. Yagi, Appl. Surf. Sci. 130–132, 60–66 (1998).

    Article  Google Scholar 

  63. A. V. Latyshev, L. V. Litvin, and A. L. Aseev, “Peculiarities of step bunching on Si(001) surface induced by DC heating,” Appl. Surf. Sci. 130–132, 139 (1998).

    Article  Google Scholar 

  64. A. V. Latyshev, H. Minoda, Y. Tanishiro, and K. Yagi, “Electromigration and gold-induced step bunching on the Si(111) surface,” Surf. Sci. 401, 22 (1998).

    Article  CAS  Google Scholar 

  65. A. V. Latyshev, A. L. Aseev, A. B. Krasilnikov, and S. I. Stenin, Surf. Sci. 227, 24 (1990).

    Article  CAS  Google Scholar 

  66. D. V. Shcheglov, S. S. Kosolobov, E. E. Rodyakina, and A. V. Latyshev, RF Patent 2371674 (2009).

  67. D. Lee and J. Blakely, “Formation and stability of large step-free areas on Si(001) and Si(111),” Surf. Sci. 445, 32 (2000).

    Article  CAS  Google Scholar 

  68. S. S. Kosolobov and A. V. Latyshev, “Atomic steps on the Si(111) surface during submonolayer gold adsorption,” Bull. Russ. Acad. Sci. Phys. 72(2), 176 (2008).

    Google Scholar 

  69. S. S. Kosolobov, et al., “Si(111) atom stages distribution instability under high temperature submicron coating by gold,” Pis’ma Zh. Eksp. Teor. Fiz. 81(3), 149 (2005).

    Google Scholar 

  70. S. S. Kosolobov, A. L. Aseev, and A. V. Latyshev, “In situ study of interaction of oxygen with the Si(111) surface by ultrahigh-vacuum reflection electron microscopy,” Semiconductors 35, 1038 (2001).

    Article  CAS  Google Scholar 

  71. A. V. Latyshev, A. B. Krasilnikov, and A. L. Aseev, Microsc. Res. Tech. 20, 34 (1992).

    Article  Google Scholar 

  72. A. V. Latyshev, A. L. Aseev, A. B. Krasilnikov, and A. V. Rzhanov, “Behavior of monoatomic steps on silicon(iii) surface during the sublimation under conditions of the electric-current heating,” Dokl. Akad. Nauk SSSR 300(1), 84–88 (1988).

    CAS  Google Scholar 

  73. G. B. Witham, Linear and Nonlinear Waves (Wiley, 1978).

    Google Scholar 

  74. A. V. Latyshev, A. B. Krasilnikov, and A. L. Aseev, UHV REM study of anti-band structure on the vicinal Si(111) surface under heating by direct electric current,” Surf. Sci. 311, 395–403 (1994).

    Article  CAS  Google Scholar 

  75. K. Thurmer, D.-J. Liu, E. D. Williams, and J. D. Weeks, “Onset of step antibanding instability due to surface electromigration,” Phys. Rev. Lett. 83, 5531–5534 (1999).

    Article  CAS  Google Scholar 

  76. E. E. Rodyakina, S. S. Kosolobov, and A. V. Latyshev, “Electric migration of silicon adatoms on Si(111) surface,” Vestn. Novosibirsk. Gos. Univ. Ser.: Fiz. 6(2), 65–76 (2011).

    Google Scholar 

  77. L. I. Fedina, D. V. Shcheglov, A. K. Gutakovskii, S. S. Kosolobov, and A. V. Latyshev, Avtometr. 46(4), 5–18 (2010).

    Google Scholar 

  78. L. I. Fedina, D. V. Sheglov, S. S. Kosolobov, A. K. Gutakovskii, and A. V. Latyshev, Measur. Sci. Technol. 21(5), 054004 (2010).

    Article  Google Scholar 

  79. H. Watanabe, T. Baba, and M. Ichikawa, “Reflection high-energy electron diffraction intensity oscillation during layer-by-layer oxidation of Si(001) surfaces,” Appl. Phys. Lett. 74, 3284 (1999).

    Article  CAS  Google Scholar 

  80. R. Hasunuma, Y. Nishioka, A. Ando, and K. Miki, “Interface states of SiO2/Si(111) observed by an atomic force microscope,” Surf. Sci. 443, L1055 (1999).

    Article  CAS  Google Scholar 

  81. A. Ando, K. Sakamoto, K. Miki, K. Matsumoto, and T. Sakamoto, “Characterization of ultrathin SiOx layers formed on a spatially controlled atomic-step-free Si 001 surface,” Appl. Surf. Sci. 144–145, 589 (1999).

    Article  Google Scholar 

  82. S. S. Kosolobov, D. A. Nasimov, D. V. Sheglov, E. E. Rodyakina, and A. V. Latyshev, Phys. Low-Dim. Struct. 5/6, 231 (2002).

    Google Scholar 

  83. D. A. Nasimov, et al., “AFM and STM Studies of Quenched Si(111),” Surfac. Phys. Low-Dim. Struct. 3–4, 157–166 (2003).

    Google Scholar 

  84. V. L. Alperovich, O. E. Tereshchenko, N. S. Rudaya, D. V. Sheglov, A. V. Latyshev, and A. S. Terekhov, “Surface passivation and morphology of GaAs(100) treated in HCl-isopropanol solution,” Appl. Surf. Sci. 235, 249 (2004).

    Article  CAS  Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to D. V. Sheglov.

Additional information

Original Russian Text © D.V. Sheglov, S.S. Kosolobov, L.I. Fedina, E.E. Rodyakina, A.K. Gutakovskii, S.V. Sitnikov, A.S. Kozhukhov, S.A. Zagarskikh, V.V. Kopytov, V.I. Evgrafov, G.V. Shuvalov, V.F. Matveichuk, A.V. Latyshev, 2013, published in Rossiiskie Nanotekhnologii, 2013, Vol. 8, Nos. 7–8.

Rights and permissions

Reprints and permissions

About this article

Cite this article

Sheglov, D.V., Kosolobov, S.S., Fedina, L.I. et al. High-precision nanoscale length measurement. Nanotechnol Russia 8, 518–531 (2013). https://doi.org/10.1134/S1995078013040162

Download citation

  • Received:

  • Accepted:

  • Published:

  • Issue Date:

  • DOI: https://doi.org/10.1134/S1995078013040162

Keywords

Navigation