Paper
6 May 2005 High-resolution EUV imaging tools for resist exposure and aerial image monitoring
M. Booth, O. Brisco, A. Brunton, J. Cashmore, P Elbourn, G. Elliner, M. Gower, J. Greuters, P. Grunewald, R. Gutierrez, T. Hill, J. Hirsch, L. Kling, N. McEntee, S. Mundair, P. Richards, V. Truffert, I. Wallhead, M. Whitfield, R. Hudyma
Author Affiliations +
Abstract
Key features are presented of two high-resolution EUV imaging tools: the MS-13 Microstepper wafer exposure and the RIM-13 reticle imaging microscope. The MS-13 has been developed for EUV resist testing and technology evaluation at the 32nm node and beyond, while the RIM-13 is designed for actinic aerial image monitoring of blank and patterned EUV reticles. Details of the design architecture, module layout, major subsystems and performance are presented for both tools.
© (2005) COPYRIGHT Society of Photo-Optical Instrumentation Engineers (SPIE). Downloading of the abstract is permitted for personal use only.
M. Booth, O. Brisco, A. Brunton, J. Cashmore, P Elbourn, G. Elliner, M. Gower, J. Greuters, P. Grunewald, R. Gutierrez, T. Hill, J. Hirsch, L. Kling, N. McEntee, S. Mundair, P. Richards, V. Truffert, I. Wallhead, M. Whitfield, and R. Hudyma "High-resolution EUV imaging tools for resist exposure and aerial image monitoring", Proc. SPIE 5751, Emerging Lithographic Technologies IX, (6 May 2005); https://doi.org/10.1117/12.606715
Lens.org Logo
CITATIONS
Cited by 40 scholarly publications.
Advertisement
Advertisement
RIGHTS & PERMISSIONS
Get copyright permission  Get copyright permission on Copyright Marketplace
KEYWORDS
Reticles

Extreme ultraviolet

Objectives

Extreme ultraviolet lithography

Mirrors

Microscopes

Semiconducting wafers

RELATED CONTENT

First light at EBL2
Proceedings of SPIE (March 24 2017)
EXTATIC: ASML's alpha-tool development for EUVL
Proceedings of SPIE (July 01 2002)
RIM 13 a high resolution imaging tool for aerial...
Proceedings of SPIE (November 07 2005)

Back to Top