Paper
27 January 2005 Using the aerial image measurement technique to speed up mask development for 193-nm immersion and polarization lithography
Author Affiliations +
Abstract
The Aerial Image Measurement System (AIMS) for 193nm lithography emulation is established as a standard for the rapid prediction of wafer printability of critical features, such as dense patterns or contacts, defects or repairs on masks. The benefit of AIMS is to save expensive image qualification consisting of test wafer exposures followed by wafer SEM measurements. By adjustment of numerical aperture, illumination type and partial coherence to match the stepper or scanner, AIMS predicts the printability of any 193nm reticle like binary, OPC and PSM. The newly available 193nm 2nd generation AIMS fab systems are able to emulate numerical apertures (NA) up to 0.92 and provide a capability down to 65nm node regardless of the use of an immersion liquid or dry conditions. Rigorous simulation studies have been performed to study the matching of AIMS and scanner results at NA = 0.92 and to study the extension of the AIMS technique for immersion lithography emulation of hyper NA up to at least 1.4. Strong polarization effects depending on mask patterns and material as well as imaging effects will occur below the 65nm node. It will be shown that using the polarization capabilities of such a future immersion AIMS tool will provide a very suitable immersion lithography emulator. Together with low k1 values and polarization effects, 193nm mask design and manufacturing will face increased challenges for design and OPC placement at the 65nm node and below. Aerial image measurements of test masks using AIMS will then be crucial to speed up mask development. We propose to measure reticles on critical points as defined by simulation or areas of concern for manufacture with the AIMS system to analyze defect printability and mask manufacturability.
© (2005) COPYRIGHT Society of Photo-Optical Instrumentation Engineers (SPIE). Downloading of the abstract is permitted for personal use only.
Axel Matthias Zibold, Wolfgang Harnisch, Thomas Scheruebl, Norbert Rosenkranz, and Joern Greif "Using the aerial image measurement technique to speed up mask development for 193-nm immersion and polarization lithography", Proc. SPIE 5645, Advanced Microlithography Technologies, (27 January 2005); https://doi.org/10.1117/12.576948
Lens.org Logo
CITATIONS
Cited by 6 scholarly publications and 2 patents.
Advertisement
Advertisement
RIGHTS & PERMISSIONS
Get copyright permission  Get copyright permission on Copyright Marketplace
KEYWORDS
Photomasks

Polarization

Scanners

Semiconducting wafers

Reticles

Liquids

Manufacturing

RELATED CONTENT

28nm node process optimization: a lithography centric view
Proceedings of SPIE (October 17 2014)
Application of Dosemapper for 65 nm gate CD control ...
Proceedings of SPIE (October 20 2006)
Tuning MEEF for CD control at 65 nm node based...
Proceedings of SPIE (December 27 2002)
Advances with the new AIMS fab 193 2nd generation ...
Proceedings of SPIE (June 28 2005)
The magic of 4X mask reduction
Proceedings of SPIE (June 21 2006)

Back to Top