Presentation + Paper
26 February 2021 28nm pitch single exposure patterning readiness by metal oxide resist on 0.33NA EUV lithography
Author Affiliations +
Abstract
For many years traditional 193i lithography has been extended to the next technology node by means of multi-patterning techniques. However recently such a 193i technology became challenging and expensive to push beyond the technology node for complex features that can be tackled in a simpler manner by the Extreme UltraViolet Lithography (EUVL) technology. Nowadays, EUVL is part of the high-volume manufacturing device landscape and it has reached a critical decision point where one can push further the single print on 0.33NA full field scanner or move to a EUV double patterning technology with more relaxed pitches to overcome current 0.33NA stochastic limits. In this work we have selected the 28nm pitch dense line-space (P28) as critical decision check point. We have looked at the 0.33NA EUV single print because it is more cost effective than 0.33NA EUV double patterning. In addition, we have conducted a process feasibility study as P28 in single print is close to the resolution limit of the 0.33NA EUV full field scanner. We present the process results on 28nm dense line-space patterning by using Inpria’s metal-oxide (MOx) EUV resist. We discuss the lithographic and etching process challenges by looking at resist sensitivity, unbiased line edge roughness (LER) and nano patterning failures after etching (AE), using broad band plasma (BBP) and e-beam (EB) defectivity inspection tools. To get further understanding on the P28 single patterning capability we have integrated the developed EUV MOx process in a relevant iN7 technology test vehicle by developing a full P28 metallization module with ruthenium. In such a way we were able to carry on electrical tests on metallized serpentine, fork-fork and tip-to-tip structures designed with a purpose of enabling further learning on pattern failures through electrical measurements. Finally, we conclude by showing the readiness of P28 single exposure using Inpria’s MOx process on a 0.33NA EUV full field scanner.
Conference Presentation
© (2021) COPYRIGHT Society of Photo-Optical Instrumentation Engineers (SPIE). Downloading of the abstract is permitted for personal use only.
D. De Simone, L. Kljucar, P. Das, R. Blanc, C. Beral, J. Severi, N. Vandenbroeck, P. Foubert, A. Charley, A. Oak, D. Xu, W. Gillijns, J. Mitard, Z. Tokei, M. van der Veen, N. Heylen, L. Teugels, Q. T. Le, F. Schleicher, P. Leray, K. Ronse, Il Hwan Kim, Insung Kim, Changmin Park, Jisun Lee, Koungmin Ryu, P. De Schepper, J. Doise, and M. Kocsis "28nm pitch single exposure patterning readiness by metal oxide resist on 0.33NA EUV lithography", Proc. SPIE 11609, Extreme Ultraviolet (EUV) Lithography XII, 116090Q (26 February 2021); https://doi.org/10.1117/12.2584713
Advertisement
Advertisement
RIGHTS & PERMISSIONS
Get copyright permission  Get copyright permission on Copyright Marketplace
KEYWORDS
Extreme ultraviolet lithography

Optical lithography

Extreme ultraviolet

Metals

Oxides

Scanners

Line edge roughness

Back to Top