Open Access
24 May 2019 Reactive ion beam etching of highly dispersive, high-efficiency transmission gratings for the VIS range
Author Affiliations +
Abstract
Reflection losses due to refractive index mismatch limit the obtainable diffraction efficiencies for transmission gratings in the highly dispersive regime, i.e., with period to wavelength ratios smaller than 0.7. The design and fabrication of such gratings with high-diffraction efficiencies (≥94  %  , Littrow configuration) will be discussed with an emphasis on process strategies to control the profiles in the reactive ion beam etching step. Experimental results from the manufacturing of monolithic fused silica pulse compression gratings with 3000  L  /  mm optimized for a center wavelength of 519 nm will be presented. The influence of different etching parameters such as etch gas mixture, ion incidence angle, and acceleration voltage of the ion source on profile depth, side-wall angle, duty cycle, and ultimately diffraction efficiencies will be discussed.

1.

Introduction

With the growing importance of ultrashort pulse lasers, not only in fundamental research but also for industrial applications, e.g., material processing1,2 and biomedical technology,3 the demand for particularly tailored optical components arises. A major step toward the successful establishment of ultrafast laser technology was the technique of chirped pulse amplification (CPA), which enabled the generation of high-energy pulses, overcoming the severe limitations imposed by nonlinear self-focusing effects in conjunction with the damage threshold properties of optical materials, particularly the gain medium.4 The extreme importance of CPA was recently honored by awarding the Nobel Prize for Physics 2018 to Mourou/Strickland, who first adapted this idea from radar technology.5 Different dispersive elements can be utilized for the temporal stretching and recompression of short pulses, e.g., fibers,6 chirped mirrors,7 chirped volume Bragg gratings,8 and diffraction gratings.9 Transmission surface relief gratings in fused silica are particularly popular due to their high-laser-induced damage threshold as well as the ability to utilize them in the Littrow configuration,10,11 allowing for compact and simple setups using only one diffraction grating as well as high-diffraction efficiencies without the more difficult structuring of complex multilayers as would be necessary for dielectric reflection gratings. For the highest powers and shortest pulses, however, self-focusing effects due to the volume propagation have to be considered, often making reflection gratings the only choice. In the recent years, frequency-doubled12,13 or even quadrupled14 pulses have been reported that increase demands on the dispersive elements, particularly by making smaller period gratings necessary to achieve sufficient angular dispersion. Highly dispersive surface transmission gratings are also attractive for further applications, e.g., spectral beam combining15 for laser diode stacks, polarization beam splitters,16 and spectroscopy.17 In the highly dispersive regime with period-to-wavelength-ratios <0.7, Fresnel-like reflection losses due to imperfect effective refractive index matching severely impair obtainable diffraction efficiencies for surface transmission gratings as well as tolerance windows regarding the grating profile shape. To overcome these issues, different methods have been proposed, e.g., multilayer structures, embedded gratings and tapered profiles. In this contribution, some of these approaches will be discussed with an emphasis on process strategies to control the profile shape of high-frequency gratings during pattern transfer of the resist mask using reactive ion beam etching (RIBE). Especially for periods below 400 nm, necessary to achieve high-angular dispersions in the visible spectrum/range (VIS) region, the control of the profiles remains an extreme challenge. Due to the high-aspect ratio, effects like redeposition, scattering of primary particles and shadowing are more significant during the RIBE process. Furthermore, microloading describing the dependence of etch rate on pattern density18 should be considered due to the high-pattern density. Altogether, RIBE of structures with a small period is more complicated because of higher interactions of many processes. In this study, experimental results from the fabrication of 3000  L/mm gratings optimized for 519 nm, using two-beam laser interference lithography and subsequent RIBE etching will be presented. The influence of different geometry- and process parameters of the latter will be discussed.

2.

Design Considerations

In a previous paper,19 the growth of Fresnel-like reflection losses with decreasing period-to-wavelength ratio as well as methods to overcome this issue, particularly the fabrication of tapered profiles, minimizing the effective refractive index jump, were discussed. We theoretically and experimentally revealed that the reflection limited maximum efficiency of 93.2% for binary 1700  L/mm gratings at 1030 nm in transverse electric (TE)-polarization as well as the tolerance window can be significantly increased (>96% experimentally), using trapezoidal-like profiles. In the following, this discussion should be supplemented and generalized with the particular aim to examine the influence of experimentally feasible profile shapes and depths on attainable diffraction efficiencies for a transmission pulse compression grating with a center wavelength of 519 nm used for a frequency-doubled CPA system. The process window should moreover be optimized for manufacturing based on two-beam interference lithography and subsequent RIBE etching.

Diffraction gratings for high-end laser applications like CPA and spectral beam combining typically require high-angular dispersions to obtain compact setups. In addition to this, in the highly dispersive regime, where only the 0th and 1st diffraction order propagate, efficiencies close to unity can be obtained using symmetric profiles in the Littrow (or “Bragg”) configuration where the 1st reflected order is diffracted back into the incidence direction and 1st and 0th transmitted order propagate symmetrically with respect to the grating normal.20 According to the grating equation, which relates incidence and diffracted wave vectors, this corresponds to the condition

Eq. (1)

12nI<Λλ<32nIII,
where nI and nIII are the refractive indices of the superstrate and substrate, respectively (incidence from superstrate), Λ is the grating period, and λ is the (vacuum) wavelength. Furthermore, nIII>nI is assumed. For convenience, throughout this contribution, diffraction orders will be denoted by mR and mT for reflected and transmitted orders, where m is the order number, e.g., 1T for 1st transmitted order and 0R for 0th reflected order.

When Λ/λ approaches the lower limit of Eq. (1), the angular dispersion as well as the Littrow angle of incidence (AOI) increase according to the grating equation. The maximum attainable transmission efficiency is then mainly limited by a Fresnel-like loss into the reflected orders. In the regarded highly dispersive regime, calculation of the energy distribution into the diffraction orders requires rigorous electromagnetic methods, i.e., solving Maxwell’s equations and boundary conditions without further physical approximations. For this purpose, several numerical methods have been developed over the past decades. In our contribution, we use a self-implemented version of the versatile rigorous coupled wave analysis that has proven particularly well-suited for dielectric periodic structures with moderate refractive index contrasts.2123 Our implementation also comprises features for optimizing and tolerancing gratings for manufacturing.24

In Fig. 1, the maximum possible 1T efficiencies for an ideal binary grating etched into a fused silica substrate (n=1.45 at 1030 nm) are plotted as a function of Λ/λ. The corresponding angles of incidence are indicated above the plots. The used data are based on numerical simulations using the RCWA (more details in the caption). The solid lines show the maximum 1T efficiency for TE and transverse magnetic (TM) polarization. Additionally, the sum of the reflected (1R and 0R) efficiencies as well as the corresponding Fresnel reflectivities for a plane interface are also plotted (fat and thin dashed lines). For Fig. 1 (a), the range of Λ/λ was chosen from 0.5 (90-deg Littrow AOI) to 1.5 (19-deg Littrow AOI). In (b), the plots were zoomed to the range 0.5<Λ/λ<0.75 that we focus on here. For decreasing periods, the maximum diffraction efficiency drops, which corresponds to a growth in reflection losses similar to the Fresnel reflection at a plane interface. What is more, for TM-polarization there is a certain value for Λ/λ that corresponds to incidence at Brewster’s angle, where no reflection occurs. Unfortunately, the effective mode index differences for TM (see below), especially for Λ/λ approaching 0.5, are significantly less than for TE, making such gratings for more difficult to manufacture.

Fig. 1

(a) Maximum obtainable diffraction efficiency as a function of Λ/λ for 1st transmitted order assuming monolithic binary gratings and Littrow incidence (incidence angles indicated above). Substrate and groove refractive index n=1.45 (fused silica at 1030 nm). The data are based on full numerical sweeps of the parameter space using RCWA with 16 orders (duty cycles from 0 to 1 and depths from 0 to 5  μm with 101×101 samples, Λ/λ with 103 samples). (b) For Λ/λ>1.034, the second transmitted order appears and the two-beam-interference analogy fails, resulting in a less smooth energy distribution into the regarded order.

OE_58_9_092614_f001.png

The physical diffraction mechanism can comprehensively be explained based on the true modal method,25,26 where the fields inside idealized binary grating layers are decomposed into laterally periodic waveguide modes, each of which is characterized by a specific lateral field distribution as well as its effective refractive index that describes how the modes accumulate phase during propagation. The effective index can be obtained by solving the dispersion equation. A plane wave with wave number k0=2πnI/λ is incident on a 1-D grating with angle θi and the grooves perpendicular to the plane of incidence (nonconical diffraction). Then the dispersion equation for TE polarization can be written as26

Eq. (2)

cos(kx,0Λ)=cos(k2fΛ)cos[k1(1f)Λ]k12+k222k1k2sin(k2fΛ)sin[k1(1f)Λ],
where kx,0=k0sinθi is the x component of the incident wave’s wave vector, k1=k0nI2neff2 and k2=k0nII2neff2, respectively. The incidence angle, groove refractive index, ridge refractive index, and the duty cycle (ratio between ridge width and period) are denoted by θi, nI, nII, and f. For TM polarization, the dispersion equation reads as26

Eq. (3)

cos(kx,0Λ)=cos(k2fΛ)cos[k1(1f)Λ]nII4k12+nI4k222nI2nII2k1k2sin(k2fΛ)sin[k1(1f)Λ].

For neff2>0, the modes propagate, for neff2<0, they are evanescent. For deep gratings with the depth comparable to the wavelength, the latter can typically be neglected. The excitation efficiencies of the modes are, similar to waveguides, determined by the field overlap with the incident field. At the interfaces, there are moreover reflection losses due to effective refractive index differences. In a simplified view27,28 that is now known as the simplified modal method (SMM), ignoring evanescent modes and reflection losses, Littrow diffraction in the highly dispersive regime, where only two grating modes propagate in the grating region, can be considered a two-beam interference. The two modes accumulate a phase difference

Eq. (4)

Δϕ=k0Δneffh,
where Δneff is the effective refractive index difference of both modes and h is the groove depth. The diffraction efficiency can then be approximated as

Eq. (5)

η1=12(1cosΔϕ).

The minimum depths for efficiency maxima are hence inversely proportional to the effective index difference. There are different approaches to address the mentioned reflection losses and obtain higher diffraction efficiencies, e.g., using multilayers below or inside the corrugation,24,29 embedded gratings,30,31 subwavelength features, and optimized continuous profiles.19 For this paper, we will concentrate on the last approach. For continuous profiles, the duty cycle f varies with depth and Eq. (4) can be modified to

Eq. (6)

Δϕ=k00hΔneff(z)dz.

Different attempts have been made to incorporate reflection losses into this simplified model.32,33 However, for the sake of accuracy, we will rely on RCWA for exact calculation and use the SMM mainly for intuitive physical interpretation.

In the following, the design of a transmission grating with 3000  L/mm optimized for Littrow incidence at 519 nm (θi=51.12  deg) should be considered. In later sections, experimental results for this grating will be presented. This example yields Λ/λ=0.642. In accordance with Fig. 1, a maximum possible efficiency of 95.7% for TE and 99.8% for TM can be expected. (Note that the refractive index for fused silica is dispersive and is assumed 1.4614 for 519 nm here, instead of 1.45 for 1030 nm from Fig. 1.) Unfortunately, optimization for TM results in necessary depths of >1.8  μm, which is, due to the high-aspect ratio, difficult to manufacture. Further insights can be obtained from Fig. 2.

Fig. 2

Diffraction efficiencies (1T) for 3000  L/mm monolithic binary grating in fused silica at 519 nm: (a) effective refractive index difference Δneff for grating modes 1 and 2 based on true modal method, (b) TM-efficiency versus duty cycle and depth, RCWA, 15 orders, (c) TE efficiency based on SMM model, and (d) TE-efficiency, RCWA, 15 orders.

OE_58_9_092614_f002.png

In Fig. 2 (a), the effective mode index difference following from solution of Eqs. (2) and (3) is depicted as a function of duty cycle for TE and TM. Clearly, the grating efficiency shows strong polarization dependence and for TM, the effective index differences are significantly less than for TE, resulting in the requirement for deeper grooves to obtain high TM efficiencies. In general, the polarization dependency rises with decreasing Λ/λ and the maximum index difference is always higher for TE. Therefore, for the experimental part, profile optimization for TE will be considered. In Fig. 2 (c), the TE efficiency using the SMM model is plotted as a function of depth and duty cycle. Qualitatively, the approximated data are in good agreement with the rigorously calculated TE efficiencies in (d). Differences are mainly due to (multiple) reflections at the top and bottom interfaces of the grating that lead to an additional modulation. The corresponding TM efficiencies are plotted in (b) for completeness.

Although the maximum theoretical TE efficiency in our example is already comparatively high for a monolithic binary fused silica grating, this requires very precise control of depth and duty cycle. The approach demonstrated in Ref. 19 can fortunately also be applied here and yields both higher maximum efficiencies as well as a more relaxed tolerance window, which is illustrated in Fig. 3. Again 1T Littrow efficiencies for TE polarization are plotted against duty cycle [full width at half maximum (FWHM)] f and normalized depth h/Λ. The efficiencies are this time depicted for three different side-wall angles α and two normalized periods Λ/λ=0.642 (e.g., 3000  L/mm, 519 nm) and (b) Λ/λ=0.535 (e.g., 3600  L/mm, 519 nm). Trapezoidal profiles with side-wall angles in the range of 4 deg to 6.5 deg were previously19 found to be experimentally feasible using interference lithography and RIBE. Moreover, the range of the plot was reduced to experimentally reasonable values for f and h around the first set of efficiency maxima as a function of groove depth.

Fig. 3

Littrow diffraction efficiencies (1T) versus duty cycle (FWHM) and normalized depth for monolithic fused silica gratings, n=1.4614 for (a) Λ/λ=0.642 and (b) Λ/λ=0.535 as well as three different side-wall angles α: 0 deg (binary), 3 deg, and 6 deg. RCWA-calculation with 15 orders and 21 slices of equal thickness. The inclined cutoff for higher side-wall angles is due to geometric restrictions: for a given depth and side-wall angle, the set of obtainable duty cycles is limited by the period.

OE_58_9_092614_f003.png

Clearly, in accordance with the modal model, increased side-wall angles yield a more continuous effective refractive index profile for the grating modes. Reflection losses are thus significantly reduced, maximum efficiencies are augmented, and, more importantly, the tolerance window regarding depth and duty cycle variations is considerably enlarged. However, this approach will only yield high efficiencies (>94%) in a somewhat intermediate region represented by Fig. 3(a). Closer to the zero order-cutoff [Fig. 3(b)], this approach is limited and further effort has to be made on reducing reflection losses.

3.

Materials and Methods

Monolithic transmission gratings with 3000  L/mm (optimized for 519 nm, 1T, TE, Littrow) and dimensions of 65×65  mm2 were produced as will be described in the following. The fused silica substrates were spin coated with 280nm AZ1518 positive resist after coating with an adhesion promoter. The resist-coated and soft-baked substrates were exposed to the stabilized interference pattern and afterward wet-chemically developed in a basic solution. For further information on the patterning using two-beam laser interference lithography, see Ref. 19. The optimized resist profiles show no residual resist layer left at the grooves and a sufficiently large duty cycle of f0.4 (Fig. 4).

Fig. 4

AFM image and cut of the optimized resist profile with a depth of 280 nm, a duty cycle of 0.4, and a side-wall angle of 11  deg.

OE_58_9_092614_f004.png

The pattern transfer of the resist profile into the fused silica substrate was performed by RIBE.34,35 An advantage of RIBE is the large range of selectivities (etch rate of substrate material related to etch rate of mask material) that can be realized by varying the mixture of the reactive gases. The selectivity can be varied over several orders of magnitude making it possible to compress or stretch surface profiles during the pattern transfer. Another key advantage of RIBE is the separation of the substrate from the plasma. In Ref. 19, it could be already shown that RIBE is an excellent method for pattern transfer of surface transmission gratings with 1700  L/mm into fused silica substrates. The results will in the following be extended to the higher groove density of 3000  L/mm with a more detailed discussion on the influence of process parameters on the profile shape. Precise control of the latter is crucial to achieve high-diffraction efficiencies.

A commercially RIBE plant [RIBE450, Fig. 5(a)] developed by NTG (Neue Technologien GmbH & Co. KG) equipped with a broad beam Kaufman-type ion source, which was originally developed at the IOM, was used. This Kaufman-type ion source was operated with a double graphite grid system and a total grid opening of 180 mm. The grid closest to the discharge chamber is the screen grid and the second grid is the acceleration grid. The voltage of the screen grid defines the ion energy. The ion source used here has the feature that the total ion energy is the sum of discharge voltage and beam voltage. The acceleration grid is operated at the acceleration potential (Uacc, negative) so that the ions are accelerated and extracted through the grid apertures. This acceleration voltage determines the angular distribution of the ions and in consequence the divergence of the beam.36,37 The FWHM of the ion beam was about 200 mm for an acceleration voltage of 100  V and 280 mm for an acceleration voltage of 1000  V. The RIBE450 plant has a five-axes motion system [Fig. 5 (b)] that, combined with sophisticated motion algorithms, allows a uniform pattern transfer for workpieces with dimensions of 450 mm diameter and a maximum weight of 50 kg. The base pressure of the RIBE450 plant is in the range of 6×107  mbar. The ion source was operated with a mixture of CHF3/Ar/O2 at a total gas flow of 5 sccm (corresponding working pressure: 5×105  mbar), a beam voltage of 700 V, and a beam current of 70 mA resulting in an ion energy of 850 eV (discharge voltage: 150 V). The advantage of CHF3 is a higher SiO2 to photoresist selectivity that can be achieved in comparison to CF4.38 In this study, the chosen gas mixtures were 3.75 sccm CHF3/0.94  sccm Ar/0.31  sccm O2, and 4.06 sccm CHF3/0.94 Ar to achieve a high selectivity. The samples were rotated at different ion incidence angles (0 deg and 5 deg) during the etching process to investigate the influence of the ion incidence angle on the resulting side-wall angle. A change in the ion incidence angle can generate shadowing effects that possibly result in larger side-wall angles. Because of the small groove width (200  nm for the resist profile) and high-aspect ratio of the mask, the ion incidence angle was varied in a small range to allow the ions to still reach the bottom of the grooves to achieve the required depth of about 750 nm in SiO2. Furthermore, the acceleration voltage of the ion source was set to 100 or 1000  V to study the effect of different angular distributions of ions within the broad beam.36,37 Table 1 shows the different parameters chosen for the etched samples.

Fig. 5

(a) The RIBE450 plant and (b) view inside with the five-axes-motion system for workpieces up to 450 mm diameter.

OE_58_9_092614_f005.png

Table 1

Etching parameters for the different samples (Uacc = acceleration voltage of the ion source). Beam voltage and beam current were set to 700 V and 70 mA, respectively.

SampleEtch gas (sccm)Uacc (V)Ion incidence angle (deg)
A3.75CHF3/0.94Ar/0.31O21000
B4.06CHF3/0.94Ar1000
C3.75CHF3/0.94Ar/0.31O21005
D4.06CHF3/0.94Ar1005
E3.75CHF3/0.94Ar/0.31O210000
F4.06CHF3/0.94Ar10005

After RIBE, the remaining resist residuals were removed using Caro’s acid (sulfuric acid with hydrogen peroxide).

The final depth of the gratings was measured by scanning force microscopy (AFM) using high-aspect ratio Si tips. To investigate the exact profile shapes, the substrates were broken perpendicular to the grooves. The resulting edges were covered with an ultrathin gold layer and measured by scanning electron microscopy (SEM). The diffraction efficiencies of all gratings were determined using a collimated diode laser with 520 nm (1T, TE, Littrow configuration) and two Si photodiodes attached to Ulbricht spheres (one as a reference) to discriminate spatial dependence of the measured photocurrents.

4.

Results and Discussion

In the first step, the etch rates for the two different gas mixtures were determined to estimate the etch times for the resist gratings. Therefore, planar layers of SiO2 and photoresist were used. The etch rates were 29.8  nmmin1 for SiO2 and 4.3  nmmin1 for photoresist for the gas mixture 3.75 sccm CHF3/0.94 sccm Ar/0.31 sccm O2 and 32.2  nmmin1 for SiO2 and 2.6  nmmin1 for photoresist for the gas mixture 4.06 sccm CHF3/0.94  sccm Ar, respectively. This results in selectivities of 6.9 and 12.6 for these two different gas mixtures. The estimated selectivities are sufficient to etch a profile depth of about 750 nm that is necessary to yield high efficiencies. The large difference between the selectivities is due to the presence and absence of oxygen in the etch gas. The surface of the photoresist is modified during the etch process (graphitization of the photoresist surface).39,40 This modified layer leads to lower etch rates of the photoresist when no oxygen is used. In the presence of oxygen, the modified layer is etched by oxygen resulting in a higher etch rate of the photoresist and thus a lower selectivity. Furthermore, this modified layer has different physical properties compared to pristine photoresist, which possibly causes deformations of the resist profiles during the etching process.

Because of the high amount of CHF3, it could be supposed that chemical etching predominates for SiO2 and that physical sputtering (and resulting effects like trenching and redeposition) less occurs. It can be assumed that the obtained etch rates for planar SiO2 surfaces are similar for structured surfaces.

In the following section, the influence of etch gas mixture, ion incidence angle, and acceleration voltage will be discussed. Figure 6 shows the SEM images of the etched gratings for the different samples (for RIBE parameters see Table 1) and Table 2 summarizes the corresponding depths, side-wall angles, duty cycles, and measured diffraction efficiencies.

Fig. 6

SEM measurements of the different samples. Samples A, C, and E were etched with 3.75 sccm CHF3/0.94  sccm Ar/0.31  sccm O2 and samples B, D, and F with 4.06 sccm CHF3/0.94  sccm Ar. The ion incidence angle was 0 deg for the samples A, B, and E and 5 deg for the samples C, D, and F. The acceleration voltage was 100  V for the samples A, B, C, and D and 1000  V for the samples E and F. The granular structures originate in the gold coating.

OE_58_9_092614_f006.png

Table 2

Profile depth, duty cycle, and side-wall angle determined by the SEM measurements and measured maximum diffraction efficiencies for all samples. The first side-wall angle describes the upper part of the profile and the second side-wall angle describes the bottom part of the profile.

SampleGrating depth (nm)Duty cycleSide-wall angle (deg)Maximum diffraction efficiency (%)
A7100.360/794.2
B7300.480/593.9
C7100.390/691.2
D7500.420/894.9
E7900.30083.4
F7600.30/0.57090.2

Comparing the etch gas mixture with oxygen (samples A, C, and E) and without oxygen (B, D, and F), it can be seen that the duty cycle of the structures is smaller when the etching was performed with oxygen [see Fig. 7(a)]. The reason for this is the faster degradation of the photoresist and its higher lateral etch rate. With oxygen, the etch rate of the photoresist is significantly higher resulting in increased removal of the mask material. Without oxygen in the etch gas mixture, the degradation of the photoresist is slower. More mask material remains after etching a certain depth in SiO2 leading to higher duty cycles. Hence, the presence and absence of oxygen in the etch gas can be used to tune the duty cycle.

Fig. 7

Comparison of profile shapes of (a) samples A and B, (b) samples A and E, and (c) samples D and F. The profiles were obtained from the SEM images.

OE_58_9_092614_f007.png

A change of the ion incidence angle from 0 deg to 5 deg (comparison of samples A and C, samples B and D) causes no obvious change in the profile shape. So the influence of the ion incidence angle on the profile shape can be neglected in the present study.

Increasing the acceleration voltage of the ion source from 100 to 1000  V leads to a larger FWHM of the ion beam and hence a higher divergence of the ions inside the beam, i.e., a broader angular distribution of incident ions. A comparison of the samples A and E [3.75 sccm CHF3/0.94  sccm Ar/0.31  sccm O2, 0 deg ion incidence angle, Fig. 7(b)] shows a reduction of the duty cycle from 0.36 (sample A) to 0.30 (sample E) with increasing voltage. The higher divergence of the incoming ions (Uacc=1000  V) causes a higher side-wall removal and hence smaller duty cycles. For the samples D and F (4.06 sccm CHF3/0.94  sccm Ar, 5 deg ion incidence angle), an increase of the acceleration voltage leads to similar changes of the profile shape [see Fig. 7(b)]. The duty cycle was reduced from 0.42 (sample D) to 0.30 (sample F). In addition, a higher acceleration voltage (samples E and F) causes almost binary profiles with side-wall angles of 0-deg compared to a lower acceleration voltage of 100  V (samples A, B, C, and D). Sample F (Uacc=1000  V) has additionally a step in the middle of the structure height with a significant change in the duty cycle. In the upper part of the grooves, the duty cycle is about 0.30, and in the bottom part, the duty cycle is 0.57. All samples show a broadening in the lower profile part but this broadening is clearly pronounced for sample F. A reason for the formation of this step is again the modified surface layer of the photoresist that causes a deformation of the photoresist pattern. The resulting deformed mask shape was transferred to the SiO2 during etching. For 1000  V acceleration voltage, the etch rate is decreased due to a broader beam profile (and hence a lower current density) compared to 100  V acceleration voltage. The modified surface layer of the photoresist is etched slower and a deformation of the mask is more probable.

Regarding the measured diffraction efficiencies (see Table 2), the samples etched with 100  V acceleration voltage (samples A, B, C, and D) achieve higher values (91.2% to 94.9%) than the samples etched with 1000V acceleration voltage (samples E and F) (83.4% and 90.2%). The relatively low efficiencies of sample E and F result from the nearly binary (and overall less well-defined) profiles (see Fig. 3). In the case of a binary profile (side-wall angle of 0 deg), the field of optimal profile depth and duty cycle is very small, hence the probability for obtaining high-diffraction efficiencies is very low. For higher side-wall angles, the tolerance window for duty-cycle and depth is larger and consequently samples A, B, C, and D reach higher efficiencies.

5.

Conclusions

In this study, different design approaches for attaining high-diffraction efficiencies for highly dispersive surface transmission gratings were discussed with a focus on strategies to create a more continuous effective refractive index profile for the grating modes and thus reduce losses into the reflected orders. In particular, we experimentally analyzed the influence of different process parameters of the RIBE pattern transfer to identify optimized etching parameters for reliably achieving high-diffraction efficiencies (94%) for high-frequency Littrow transmission gratings with 3000  L/mm for a central wavelength of 519 nm. The samples etched with the lower acceleration voltage of 100V exhibited larger side-wall angles and thus higher diffraction efficiencies. Hence, a smaller beam divergence has proven advantageous to enlarge the window of tolerance. A variation of the ion incidence angle has shown no significant difference in the profile shape. The absence of oxygen in the etch gas led to a higher selectivity, less lateral degradation of the resist mask and thus higher duty cycles.

It could be demonstrated that RIBE is a suitable method to transfer a pattern of 3000  L/mm into fused silica substrates with a size of 65×65  mm2. Further investigations are necessary to continue the optimization of duty cycle, side-wall angle, and profile depth through the etching parameters. It is also planned to transfer the etch recipe to sample sizes of 300 mm diameter with a homogeneous removal and a maximum deviation from the average removal of ±1%.

Acknowledgments

The authors would like to thank all colleagues involved, especially Toni Liebeskind for the wet-chemical treatment of the samples. Moreover, we would like to acknowledge Dr. Ingo Will from Max-Born-Institute Berlin for enabling this study.

References

1. 

K. Sugioka and Y. Cheng, “Ultrafast lasers—reliable tools for advanced materials processing,” Light Sci. Appl., 3 (4), e149 (2014). https://doi.org/10.1038/lsa.2014.30 Google Scholar

2. 

M. Malinauskas et al., “Ultrafast laser processing of materials: from science to industry,” Light Sci. Appl., 5 (8), e16133 (2016). https://doi.org/10.1038/lsa.2016.133 Google Scholar

3. 

M. Braun, P. Gilch and W. Zinth, Ultrashort Laser Pulses in Biology and Medicine, Springer Science & Business Media, Berlin Heidelberg, Germany (2008). Google Scholar

4. 

S. Backus et al., “High power ultrafast lasers,” Rev. Sci. Instrum., 69 (3), 1207 –1223 (1998). https://doi.org/10.1063/1.1148795 RSINAK 0034-6748 Google Scholar

5. 

D. Strickland and G. Mourou, “Compression of amplified chirped optical pulses,” Opt. Commun., 55 (6), 447 –449 (1985). https://doi.org/10.1016/0030-4018(85)90151-8 OPCOB8 0030-4018 Google Scholar

6. 

B. Nikolaus and D. Grischkowsky, “12× pulse compression using optical fibers,” Appl. Phys. Lett., 42 (1), 1 –2 (1983). https://doi.org/10.1063/1.93749 APPLAB 0003-6951 Google Scholar

7. 

R. Szipőcs and A. Kőházi-Kis, “Theory and design of chirped dielectric laser mirrors,” Appl. Phys. B, 65 (2), 115 (1997). https://doi.org/10.1007/s003400050258 Google Scholar

8. 

L. B. Glebov et al., “Volume-chirped Bragg gratings: monolithic components for stretching and compression of ultrashort laser pulses,” Opt. Eng., 53 (5), 051514 (2014). https://doi.org/10.1117/1.OE.53.5.051514 Google Scholar

9. 

E. Treacy, “Optical pulse compression with diffraction gratings,” IEEE J. Quantum Electron., 5 (9), 454 –458 (1969). https://doi.org/10.1109/JQE.1969.1076303 IEJQA7 0018-9197 Google Scholar

10. 

H. T. Nguyen et al., “High-efficiency fused-silica transmission gratings,” Opt. Lett., 22 (3), 142 (1997). https://doi.org/10.1364/OL.22.000142 OPLEDP 0146-9592 Google Scholar

11. 

T. Clausnitzer et al., “Highly efficient transmission gratings in fused silica for chirped-pulse amplification systems,” Appl. Opt., 42 (34), 6934 (2003). https://doi.org/10.1364/AO.42.006934 APOPAI 0003-6935 Google Scholar

12. 

Z. Wang et al., “High-contrast 1.16 PW Ti: sapphire laser system combined with a doubled chirped-pulse amplification scheme and a femtosecond optical-parametric amplifier,” Opt. Lett., 36 (16), 3194 (2011). https://doi.org/10.1364/OL.36.003194 OPLEDP 0146-9592 Google Scholar

13. 

D. Hillier et al., “Ultrahigh contrast from a frequency-doubled chirped-pulse-amplification beamline,” Appl. Opt., 52 (18), 4258 (2013). https://doi.org/10.1364/AO.52.004258 APOPAI 0003-6935 Google Scholar

14. 

Z. Liu et al., “Chirped-pulse amplification of ultraviolet femtosecond pulses by use of Ce 3+: LiCaAlF 6 as a broadband, solid-state gain medium,” Opt. Lett., 26 (5), 301 (2001). https://doi.org/10.1364/OL.26.000301 OPLEDP 0146-9592 Google Scholar

15. 

J. Zhang et al., “CW 50W/M2 = 10.9 diode laser source by spectral beam combining based on a transmission grating,” Opt. Express, 21 (3), 3627 (2013). https://doi.org/10.1364/OE.21.003627 OPEXFF 1094-4087 Google Scholar

16. 

J. Feng et al., “Deep-etched sinusoidal polarizing beam splitter grating,” Appl. Opt., 49 (10), 1739 (2010). https://doi.org/10.1364/AO.49.001739 APOPAI 0003-6935 Google Scholar

17. 

B. Barbuy et al., “CUBES: Cassegrain U-band Brazil-ESO spectrograph,” Astrophys. Space Sci., 354 (1), 191 –204 (2014). https://doi.org/10.1007/s10509-014-2039-z APSSBE 0004-640X Google Scholar

18. 

R. A. Gottscho, C. W. Jurgensen and D. J. Vitkavage, “Microscopic uniformity in plasma etching,” J. Vac. Sci. Technol. B, 10 (5), 2133 (1992). https://doi.org/10.1116/1.586180 JVTBD9 1071-1023 Google Scholar

19. 

F. Koch et al., “Manufacturing of highly-dispersive, high-efficiency transmission gratings by laser interference lithography and dry etching,” Microelectron. Eng., 191 60 –65 (2018). https://doi.org/10.1016/j.mee.2018.01.031 MIENEF 0167-9317 Google Scholar

20. 

E. G. Loewen and E. Popov, Diffraction Gratings and Applications, CRC Press, Boca Raton, Florida (1997). Google Scholar

21. 

M. G. Moharam and T. K. Gaylord, “Rigorous coupled-wave analysis of planar-grating diffraction,” J. Opt. Soc. Am., 71 (7), 811 (1981). https://doi.org/10.1364/JOSA.71.000811 Google Scholar

22. 

M. G. Moharam et al., “Stable implementation of the rigorous coupled-wave analysis for surface-relief gratings: enhanced transmittance matrix approach,” J. Opt. Soc. Am. A, 12 (5), 1077 (1995). https://doi.org/10.1364/JOSAA.12.001077 Google Scholar

23. 

P. Lalanne and G. M. Morris, “Highly improved convergence of the coupled-wave method for TM polarization,” J. Opt. Soc. Am. A, 13 (4), 779 (1996). https://doi.org/10.1364/JOSAA.13.000779 Google Scholar

24. 

F. Koch, D. Lehr and T. Glaser, “Optimization and tolerancing of highly dispersive multilayer gratings for laser applications,” Comput. Opt. II, 10694 1069403 (2018). https://doi.org/10.1117/12.2307365 Google Scholar

25. 

I. C. Botten et al., “The dielectric lamellar diffraction grating,” Opt. Acta., 28 413 –428 (1981). https://doi.org/10.1080/713820571 OPACAT 0030-3909 Google Scholar

26. 

P. Sheng, R. S. Stepleman and P. N. Sanda, “Exact eigenfunctions for square-wave gratings—application to diffraction and surface-plasmon calculations,” Phys. Rev. B, 26 2907 –2916 (1982). https://doi.org/10.1103/PhysRevB.26.2907 Google Scholar

27. 

A. V. Tishchenko, “Phenomenological representation of deep and high contrast lamellar gratings by means of the modal method,” Opt. Quantum Electron., 37 309 –330 (2005). https://doi.org/10.1007/s11082-005-1188-2 OQELDI 0306-8919 Google Scholar

28. 

T. Clausnitzer et al., “An intelligible explanation of highly-efficient diffraction in deep dielectric rectangular transmission gratings,” Opt. Express, 13 10448 (2005). https://doi.org/10.1364/OPEX.13.010448 OPEXFF 1094-4087 Google Scholar

29. 

K. Nagashima et al., “Improvement of diffraction efficiency of dielectric transmission gratings using anti-reflection coatings,” Opt. Express, 21 (16), 18640 (2013). https://doi.org/10.1364/OE.21.018640 OPEXFF 1094-4087 Google Scholar

30. 

T. Clausnitzer et al., “Reflection-reduced encapsulated transmission grating,” Opt. Lett., 33 (17), 1972 (2008). https://doi.org/10.1364/OL.33.001972 OPLEDP 0146-9592 Google Scholar

31. 

T. Clausnitzer et al., “Highly-dispersive dielectric transmission gratings with 100% diffraction efficiency,” Opt. Express, 16 (8), 5577 (2008). https://doi.org/10.1364/OE.16.005577 OPEXFF 1094-4087 Google Scholar

32. 

X. Jing et al., “Improvement of the validity of the simplified modal method for designing a subwavelength dielectric transmission grating,” Appl. Opt., 53 (2), 259 (2014). https://doi.org/10.1364/AO.53.000259 APOPAI 0003-6935 Google Scholar

33. 

F. Yang and Y. Li, “Evaluation and improvement of simplified modal method for designing dielectric gratings,” Opt. Express, 23 (24), 31342 (2015). https://doi.org/10.1364/OE.23.031342 OPEXFF 1094-4087 Google Scholar

34. 

P. J. Revell and G. F. Goldspink, “A review of reactive ion beam etching for production,” Vacuum, 34 (3–4), 455 –462 (1984). https://doi.org/10.1016/0042-207X(84)90083-6 VACUAV 0042-207X Google Scholar

35. 

J. M. E. Harper et al., “Low energy ion beam etching,” J. Electrochem. Soc., 128 1077 (1981). https://doi.org/10.1149/1.2127554 JESOAN 0013-4651 Google Scholar

36. 

M. Tartz, “Simulation des Ladungstransportes in Breitstrahlionenquellen,” University of Leipzig, (2003). Google Scholar

37. 

B. Ziberi et al., “Highly ordered nanopatterns on Si and Ge surfaces by ion beam sputtering,” J. Phys. Condens. Matter, 21 224003 (2009). https://doi.org/10.1088/0953-8984/21/22/224003 JCOMEL 0953-8984 Google Scholar

38. 

G. S. Oehrlein et al., “Fluorocarbon high‐density plasmas. II. Silicon dioxide and silicon etching using CF4 and CHF3,” J. Vac. Sci. Technol. A, 12 333 –344 (1994). https://doi.org/10.1116/1.578877 JVTAD6 0734-2101 Google Scholar

39. 

A. Pranda et al., “Role of the dense amorphous carbon layer in photoresist etching,” J. Vac. Sci. Technol. A, 36 (2), 021304 (2018). https://doi.org/10.1116/1.5009640 JVTAD6 0734-2101 Google Scholar

40. 

R. L. Bruce et al., “On the absence of post-plasma etch surface and line edge roughness in vinylpyridine resist,” J. Vac. Sci. Technol. B, 29 (4), 041604 (2011). https://doi.org/10.1116/1.3607604 JVTBD9 1071-1023 Google Scholar

Biography

Annemarie Finzel received her BS and MS degrees in physics from the University of Leipzig in 2010 and 2012, respectively, and her PhD in physics from the University of Leipzig in 2016. She is a research scientist in the ion beam assisted patterning and smoothing work group at the Leibniz Institute of Surface Engineering in Leipzig.

Felix Koch studied physical and optical engineering in Jena and received his MS degree in grating manufacturing at Carl Zeiss Jena GmbH in 2014. He has been a PhD student and production engineer at Carl Zeiss Jena GmbH since 2015. His fields of interest are design and manufacturing of highly efficient gratings, measurement and optimization of scattering for spectroscopic diffraction gratings, and mastering and replication of industrial gratings.

Frank Frost received his doctorate from the University of Leipzig in 1998. His research focuses on fundamental investigations of the manufacturing of nano and microstructures using (reactive) ion beam etching (RIBE), pattern formation due to ion beam driven self-organization, the use of ion beam processes for smoothing and planarization down to sub 0.1 nm surface roughness level and the implementation of these technologies in production practice. He authored/coauthored more than 130 papers.

Biographies of the other authors are not available.

CC BY: © The Authors. Published by SPIE under a Creative Commons Attribution 4.0 Unported License. Distribution or reproduction of this work in whole or in part requires full attribution of the original publication, including its DOI.
Annemarie Finzel, Felix Koch, Gregor Dornberg, Dennis Lehr, Frank Frost, and Tilman Glaser "Reactive ion beam etching of highly dispersive, high-efficiency transmission gratings for the VIS range," Optical Engineering 58(9), 092614 (24 May 2019). https://doi.org/10.1117/1.OE.58.9.092614
Received: 1 February 2019; Accepted: 30 April 2019; Published: 24 May 2019
Lens.org Logo
CITATIONS
Cited by 4 scholarly publications.
Advertisement
Advertisement
KEYWORDS
Etching

Diffraction gratings

Ions

Diffraction

Ion beams

Reactive ion etching

Refractive index

Back to Top