Your browser does not support JavaScript!
http://iet.metastore.ingenta.com
1887

Resource-constrained system-on-a-chip test: a survey

Resource-constrained system-on-a-chip test: a survey

For access to this article, please select a purchase option:

Buy article PDF
£12.50
(plus tax if applicable)
Buy Knowledge Pack
10 articles for £75.00
(plus taxes if applicable)

IET members benefit from discounts to all IET publications and free access to E&T Magazine. If you are an IET member, log in to your account and the discounts will automatically be applied.

Learn more about IET membership 

Recommend Title Publication to library

You must fill out fields marked with: *

Librarian details
Name:*
Email:*
Your details
Name:*
Email:*
Department:*
Why are you recommending this title?
Select reason:
 
 
 
 
 
IEE Proceedings - Computers and Digital Techniques — Recommend this title to your library

Thank you

Your recommendation has been sent to your librarian.

Manufacturing test is a key step in the implementation flow of modern integrated electronic products. It certifies the product quality, accelerates yield learning and influences the final cost of the device. With the ongoing shift towards the core-based system-on-a-chip (SOC) design paradigm, unique test challenges, such as test access and test reuse, are confronted. In addition, when addressing these new challenges, the SOC designers must consciously use the resources at hand, while keeping the testing time and volume of test data under control. Consequently, numerous test strategies and algorithms in test architecture design and optimisation, test scheduling and test resource partitioning have emerged to tackle the resource-constrained core-based SOC test. This paper presents a survey of the recent advances in this field.

References

    1. 1)
      • A. Chandra , K. Chakrabarty . System-on-a-chip test data compression and decompression architectures based on golomb codes. IEEE Trans. Comput.-Aided Des. , 3 , 355 - 368
    2. 2)
      • R.K. Gupta , Y. Zorian . Introducing core-based system design. IEEE Des. Test Comput. , 4 , 15 - 25
    3. 3)
      • Yoneda, T, and Fujiwara, H.: ‘Design for consecutive transparency of cores in system-on-a-chip’. VTS, 2003, pp. 287–292.
    4. 4)
      • Iyengar, V., Chakrabarty, K., and Marinissen, E.J.: ‘Recent advances in test planning for modular testing of core-based SOCs’. ATS, 2002, pp. 320–325.
    5. 5)
      • Zorian, Y., Marinissen, E.J., and Dey, S.: ‘Testing embedded-core based system chips’. ITC 1998, pp. 130–143.
    6. 6)
      • Koranne, S.: ‘Solving the SoC test scheduling problem using network flow and reconfigurable wrappers’. ISQED, 2003, pp. 93–98.
    7. 7)
      • Ravikumar, C.P., Verma, A., and Chandra, G.: ‘A polynomial-time algorithm for power constrained testing of core based systems’. ATS, 1999, 1999, pp. 107–112.
    8. 8)
      • Jas, A., and Touba, N.: ‘Test vector decompression via cyclical scan chains and its application to testing core-based designs’. ITC, 1998, pp. 458–464.
    9. 9)
      • R.M. Chou , K.K. Saluja , V.D. Agrawal . Scheduling tests for VLSI systems under power constraints. IEEE Trans. VLSI Syst. , 2 , 175 - 184
    10. 10)
      • Krundel, L., Goel, S.K., Marinissen, E.J., Flottes, M.-L., and Rouzeyre, B.: ‘User-constrained test architecture design for modular SOC testing’. ETS, 2004, May 2004, pp. 80–85.
    11. 11)
      • Heidel, D., Dhong, S. Hofstee, P., Immediato, M., Nowka, K., Silberman, J., and Stawiasz, K.: ‘High speed serializing/ de-serializing design-for-test method for evaluating a 1 GHz microprocessor’. VTS, 1998, pp. 234–238.
    12. 12)
      • A. Krstic , K.T. Cheng . (1998) Delay fault testing for VLSI circuits.
    13. 13)
      • A. Chandra , K. Chakrabarty . Test resource partitioning for SOCs. IEEE Des. Test Comput. , 80 - 91
    14. 14)
      • Marinissen, E.J., Iyengar, V., and Chakrabarty, K.: ‘ITC'02 SOC test benchmarks’, http://www.extra.research.philips.com/itc02socbenchm/.
    15. 15)
      • Xu, Q., and Nicolici, N.: ‘Delay fault testing of core-based systems-on-a-chip’. DATE, 2003, pp. 744–749.
    16. 16)
      • Iyengar, V., Chakrabarty, K., and Murray, B.T.: ‘Built-in self testing of sequential circuits using precomputed test sets’. VTS, 1998, pp. 418–423.
    17. 17)
      • Barnhart, C., Brunkhorst, V., Distler, F., Farnsworth, O., Keller, B., and Koenemann, B.: ‘OPMISR: the foundation for compressed ATPG vectors’. ITC, 2001, pp. 748–757.
    18. 18)
      • Marinissen, E.J., Kapur, R., and Zorian, Y.: ‘On using IEEE P1500 SECT for test plug-n-play’. ITC, 2000, pp. 770–777.
    19. 19)
      • Dick, R.P., and Jha, N.K.: ‘MOCSYN: multiobjective core-based single-chip system synthesis’. DATE, 1999, 263–270.
    20. 20)
      • Zorian, Y.: ‘A distributed BIST control scheme for complex VLSI devices’. VTS, 1993, pp. 6–11.
    21. 21)
      • Iyengar, V., Chakrabarty, K., and Marinissen, E.J.: ‘Efficient wrapper/TAM co-optimization for large SOCs’. DATE, 2002, pp. 491–498.
    22. 22)
      • Goel, S.K., and Marinissen, E.J.: ‘Effective and efficient test architecture design for SOCs’. ITC, 2002, pp. 529–538.
    23. 23)
      • Huang, Y., Mukherjee, N., Tsai, C.-C., Samman, O., Zaidan, Y., Zhang, Y., Cheng, W.-T., and Reddy, S.M.: ‘Constraint-driven pin mapping for concurrent SOC testing’. ASP-DAC, 2002.
    24. 24)
      • Koranne, S., and Iyengar, V.: ‘On the Use of k-tuples for SoC Test schedule representation’. ITC, 2002, pp. 539–548.
    25. 25)
      • Marinissen, E.J., Goel, S.K., and Lousberg, M.: ‘Wrapper design for embedded core test’. ITC, 2000, pp. 911–920.
    26. 26)
      • Koranne, S.: ‘On test scheduling for core-based SOCs’. VLSID, 2002, pp. 505–510.
    27. 27)
      • M. Nourani , C. Papachristou . Structural fault testing of embedded cores using pipelining. J. Electron. Test., Theory Appl. , 1
    28. 28)
      • S. Ravi , G. Lakshminarayana , N.K. Jha . Testing of core-based systems-on-a-chip. IEEE Trans. Comput.-Aided Des. , 3 , 426 - 439
    29. 29)
      • P. Nag , A. Gattiker , S. Wei , R. Blanton , W. Maly . Modeling the economics of testing: a DFT perspective. IEEE Des. Test Comput. , 29 - 41
    30. 30)
      • Kapur, R., and Williams, T.W.: ‘Manufacturing test of SoCs’. ATS, 2002, pp. 317–319.
    31. 31)
      • Gonciari, P.T., Al-Hashimi, B.M., and Nicolici, N.: ‘Useless memory allocation in system-on-a-chip test: problems and solutions’. VTS, 2002, pp. 423–429.
    32. 32)
      • Y. Zorian , E. Jan Marinissen , S. Dey . Testing embedded-core-based system chips. Computer , 6 , 52 - 60
    33. 33)
      • Vermaak, H., and Kerkhoff, H.G.: ‘Enhanced P1500 compliant wrapper suitable for delay fault testing of embedded cores’. ETW, 2003, pp. 257–262.
    34. 34)
      • Wolff, F.G., and Papachristou, C.: ‘Multiscan-based test compression and hardware decompression using LZ77’, ITC, 2002, pp. 331–339.
    35. 35)
      • Huang, Y., Reddy, S.M., Cheng, W.-T., Reuter, P., Mukherjee, N., Tsai, C.-C., Samman, O., and Zaidan, Y.: ‘Optimal core wrapper width selection and SOC test scheduling based on 3-D bin packing algorithm’. ITC, 2002, pp. 74–82.
    36. 36)
      • Huang, Y., Cheng, W.-T., Tsai, C.-C., Mukherjee, N., Samman, O., Zaidan, Y., and Reddy, S.M.: ‘Resource allocation and test scheduling for concurrent test of core-based SOC design’. ATS, 2001, pp. 265–270.
    37. 37)
      • D. Zhao , S. Upadhyaya . A generic resource distribution and test scheduling scheme for embedded core-based SoCs. IEEE Trans. Instrum. Meas. , 2 , 318 - 329
    38. 38)
      • Rajski, J., Tyszer, J., Wang, C., and Reddy, S.M.: ‘Convolutional compaction of test responses’. ITC, 2003, pp. 745–754.
    39. 39)
      • Rajsuman, R.: ‘Testing a system-on-a-chip with embedded microprocessor’. ITC 1999, pp. 499–508.
    40. 40)
      • Harrod, P.: ‘Testing reusable IP - a case study’. ITC, 1999, pp. 493–498.
    41. 41)
    42. 42)
      • El-Maleh, A.H., and Al-Abaji, R.H.: ‘Extended frequency-directed run-length code with improved application to system-on-a-chip test data compression’. ICECS, 2001, pp. 530–537.
    43. 43)
      • Koenemann, B.: ‘LFSR-coded test patterns for scan designs’. ETC, 1991, pp. 237–242.
    44. 44)
      • Gonciari, P.T., Al-Hashimi, B.M., and Nicolici, N.: ‘Improving compression ratio, area overhead, and test application time for system-on-a-chip test data compression/decompression’. DATE, 2002, pp. 604–611.
    45. 45)
      • International SEMATECH, ‘The international technology roadmap for semiconductors (ITRS)’, 2001 Edition, http://public.itrs.net/Files/2001ITRS/Home.htm.
    46. 46)
      • Patel, J.H., Lumetta, S.S., and Reddy, S.M.: ‘Application of saluja-karpovsky compactors to test responses with many unknowns’. VTS, 2003, pp. 107–112.
    47. 47)
      • S. Koranne . A novel reconfigurable wrapper for testing of embedded core-based SOCs and its associated scheduling algorithm. J. Electron Test., Theory Appl. , 415 - 434
    48. 48)
      • Bhatia, S., Gheewala, T., and Varma, P.: ‘A unifying methodology for intellectual property and custom logic testing’. ITC, 1996, pp. 639–648.
    49. 49)
      • Larsson, E., and Fujiwara, H.: ‘Power constrained preemptive TAM scheduling’. ETW, 2002, pp. 119–126.
    50. 50)
      • Larsson, E., and Peng, Z.: ‘A reconfigurable power-conscious core wrapper and its application to SOC test scheduling’. ITC, 2003, pp. 1135–1144.
    51. 51)
      • Marinissen, E.J., and Lousberg, M.: ‘Macro test: A liberal test approach for embedded reusable cores’. TECS, 1997, pp. 1.2–1–9.
    52. 52)
      • S. Koranne . Formulating SoC test scheduling as a network transportation problem. IEEE Trans. Comput.-Aided Des. , 12 , 1517 - 1525
    53. 53)
      • Vranken, H., Hapke, H., Rogge, S., Chindamo, D., and Volkerink, E.: ‘Atpg padding and ATE vector repeat per port for reducing test data volume’. ITC, 2003, pp. 1069-1078.
    54. 54)
      • Ravikumar, C.P., Chandra, G., and Verma, A.: ‘Simultaneous module selection and scheduling for power-constrained testing of core based systems’. VLSID, 2000, pp. 462–467.
    55. 55)
      • N. Touba , B. Pouya . Using partial isolation rings to test core-based designs. IEEE Des. Test Comput. , 4 , 52 - 59
    56. 56)
      • Xu, Q., and Nicolici, N.: ‘Wrapper design for testing IP cores with multiple clock domains’. DATE, 2004, pp. 416–421.
    57. 57)
      • Tehranipour, M., Nourani, M., and Chakrabarty, K.: ‘Nine-coded compression technique with application to reduced pin-count testing and flexible on-chip decompression’. DATE, 2004, pp. 1284–1289.
    58. 58)
      • Koenemann, B., Barnhart, C., Keller, B., Farnsworth, O., and Wheater, D.: ‘A smartBIST variant with guaranteed encoding’. ATS, 2001, pp. 325-330.
    59. 59)
      • Yoneda, T, Uchiyama, T., and Fujiwara, H.: ‘Area and time co-optimization for system-on-a-chip based on consecutive testability’. ITC, 2003, Charlotte, NC, Sept. 2003, pp. 415–422.
    60. 60)
      • Marinissen, E.J., Arendsen, R., Bos, G., Dingemanse, H., Lousberg, M., and Wouters, C.: ‘A structured and scalable mechanism for test access to embedded reusable cores’. ITC, 1998, pp. 284–293.
    61. 61)
      • H. Murata , K. Fujiyoshi , S. Nakatake , Y. Kajitani . VLSI module placement based on rectangle-packing by the sequence-pair. IEEE Trans. Comput.-Aided Des. , 12 , 1518 - 1524
    62. 62)
    63. 63)
      • Zhao, D., and Upadhyaya, S.: ‘Power constrained test scheduling with dynamically varied TAM’. VTS, 2003, pp. 273–278.
    64. 64)
      • Chakrabarty, K., Mukherjee, R., and Exnicios, A.S.: ‘Synthesis of transparent circuits for hierarchical and system-on-a-chip test’. ICVD, 2001, pp. 431–436.
    65. 65)
      • D. Salomon . (2000) Data compression: The complete reference.
    66. 66)
      • Immaneni, V., and Raman, S.: ‘Direct access test scheme - design of block and core cells for embedded ASICs’. ITC 1990, pp. 488–492.
    67. 67)
      • E.J. Marinissen , R. Kapur , M. Lousberg , T. Mclaurin , M. Ricchetti , Y. Zorian . On IEEE P1500's standard for embedded core test. J. Electron. Test., Theory Appl. , 365 - 383
    68. 68)
      • Jas, A., and Touba, N.: ‘Using an embedded processor for efficient deterministic testing of systems-on-a-chip’. ICCD, 1999.
    69. 69)
      • Sehgal, A., Iyengar, V., Krasniewski, M.D., and Chakrabarty, K.: ‘Test cost reduction for SOCs using virtual TAMs and lagrange multipliers’. DAC, 2003, pp. 738–743.
    70. 70)
      • V. Iyengar , K. Chakrabarty , E.J. Marinissen . Co-optimization of test wrapper and test access architecture for embedded cores. J. Electron. Test., Theory Appl. , 2 , 213 - 230
    71. 71)
      • Pouya, B., and Touba, N.: ‘Modifying user-defined logic for test access to embedded cores’. ITC, 1997, pp. 60–68.
    72. 72)
      • Xu, Q., and Nicolici, N.: ‘On reducing wrapper boundary register cells in modular SOC testing’, ITC, 2003, pp. 622–631.
    73. 73)
      • Li, L., and Chakrabarty, K.: ‘Test data compression using dictionaries with fixed-length indices’. VTS, 2003, pp. 219–224.
    74. 74)
      • Aerts, J., and Marinissen, E.J.: ‘Scan chain design for test time reduction in core-based ICs’. ITC, 1998, pp. 448–457.
    75. 75)
      • Dorsch, R., and Wunderlich, H.: ‘Tailoring ATPG for embedded testing’. ITC, 2001, pp. 530–537.
    76. 76)
      • T. Yoneda , H. Fujiwara . Design for consecutive testability of system-on-a-chip with built-In self testable cores. J. Electron. Test., Theory Appl. , 487 - 501
    77. 77)
      • Iyengar, V., Chakrabarty, K., and Marinissen, E.J.: ‘On Using rectangle packing for SOC wrapper/TAM co-Optimization’. VTS, 2002, pp. 253–258.
    78. 78)
      • Varma, P., and Bhatia, S.: ‘A structured test re-use methodology for core-based system chips’. ITC, 1998, pp. 294–302.
    79. 79)
      • Chandra, A., and Chakrabarty, K.: ‘Frequency-directed run-length (FDR) codes with application to system-on-a-chip test data compression’. VTS, 2001, pp. 114–121.
    80. 80)
    81. 81)
      • Goel, S.K.: ‘An improved wrapper architecture for parallel testing of hierarchical cores’. ETS, 2004, pp. 147–152.
    82. 82)
      • Mitra, S., and Kim, K.S.: ‘X-compact: An efficient response compaction technique for test cost reduction’. ITC, 2002, pp. 311–320.
    83. 83)
      • E.J. Marinissen . The role of test protocols in automated test generation for embedded-core-based system ICs. J. Electron. Test., Theory Appl. , 435 - 454
    84. 84)
      • S. Ravi , N.K. Jha . Test synthesis of system-on-a-chip. IEEE Trans. Comput.-Aided Des. , 10 , 1211 - 1217
    85. 85)
      • Muresan, V., Wang, X., Muresan, V., and Vladutiu, M.: ‘A comparison of classical scheduling approaches in power-constrained block-test scheduling’. ITC, 2000, pp. 882–891.
    86. 86)
      • Larsson, E., and Fujiwara, H.: ‘Test resource partitioning and optimization for SOC designs’. VTS, 2003, pp. 319–324.
    87. 87)
      • Goel, S.K., and Marinissen, E.J.: ‘Controla-aware test architecture design for modular SOC testing’. ETW, 2003, pp. 57–62.
    88. 88)
      • Jas, A., Ghosh-Dastidar, J., and Touba, N.A.: ‘Scan vector compression/decompression using statistical coding’. VTS, 1999, pp. 114–120.
    89. 89)
      • I. Ghosh , S. Dey , N.K. Jha . A fast and low cost testing technique for core-based system-chips. IEEE Trans. Comput.-Aided Des. , 8 , 863 - 876
    90. 90)
      • C.P. Su , C.-W. Wu . A graph-based approach to power-constrained SOC test scheduling. J. Electron. Test., Theory Appl. , 1 , 45 - 60
    91. 91)
      • I. Ghosh , N.K. Jha , S. Dey . Low overhead design for testability and test generation technique for core-based systems-on-a-chip. IEEE Trans. Comput.-Aided Des. , 11
    92. 92)
      • Whetsel, L.: ‘An IEEE 1149.1 Based test access architecture for ICs with embedded cores’. ITC 1997, pp. 69–78.
    93. 93)
      • Goel, S.K., and Marinissen, E.J.: ‘Cluster-based test architecture design for system-on-chip’. VTS, 2002. pp. 259–264.
    94. 94)
      • Jiang, W., and Vinnakota, B.: ‘Defect-oriented test scheduling’. VTS, 1999, pp. 433–438.
    95. 95)
      • Hales, A., and Marinissen, E.J.: IEEE P1500 Web Site, http://grouper.ieee.org/groups/1500/.
    96. 96)
      • Larsson, E., and Peng, Z.: ‘Test scheduling and scan-chain division under power constraint’. ATS, 2001, pp. 259–264.
    97. 97)
      • Khoche, A.: `Test resource partitioning for scan architectures using bandwidth matching', Digest of Int. Workshop Test Resource Partition, 2002, p. 1.4.1-1.4.8.
    98. 98)
      • Larsson, E., and Peng, Z.: ‘An Integrated system-on-chip test framework’. DATE, 2001, pp. 138–144.
    99. 99)
      • Huang, Y., Cheng, W.-T., Tsai, C.-C., Mukherjee, N., and Reddy, S.M.: ‘Static pin mapping and SOC test scheduling for cores with multiple test sets’. ISQED, 2003, pp. 99–104.
    100. 100)
    101. 101)
      • K.: Chakrabarty . Test scheduling for core-based systems using mixed-integer linear programming. IEEE Trans. Comput.-Aided Des. , 10 , 1163 - 1174
    102. 102)
      • Sugihara, M., Date, H., and Yasuura, H.: ‘A novel test methodology for core-based system LSIs and a ddddtesting time minimization problem’. ITC, 1998, pp. 465–472.
    103. 103)
      • Flottes, M.-L., Pouget, J., and Rouzeyre, B.: ‘Sessionless test scheme: power-constrained test scheduling for system-on-a-chip’. VLSI-SOC 2001, pp. 105–110.
    104. 104)
      • Bayraktaroglu, I., and Orailoglu, A.: ‘Test volume and application time reduction through scan chain concealment’. DAC, 2001, pp. 151–155.
    105. 105)
      • F. Beenker , K. van Eerdewijk , R. Gerritsen , F. Peacock , M. van der Star . Macro testing: unifying IC and board test. IEEE Des. Test Comput. , 4 , 26 - 32
    106. 106)
      • Iyengar, V., and Chakrabarty, K.: ‘Precedence-based, preemptive, and power-constrained test scheduling for system-on-a-chip’. VTS, 2001, pp. 368–374.
    107. 107)
      • Larsson, E., Peng, Z., and Carlsson, G.: ‘The design and optimization of SOC test solutions’. ICCAD, 2001, pp. 523–530.
    108. 108)
      • M. Abramovici , M.A. Breuer , A.D. Friendman . (1990) Digital systems testing and testable design.
    109. 109)
      • Knieser, M.J., Wolff, F.G., Papachristou, C.A., Weyer, D.J., and McIntyre, D.R.: ‘A technique for high ratio LZW compression’. DATE, 2003, pp. 116–121.
    110. 110)
      • Iyengar, V., Chakrabarty, K., and Marinissen, E.J.: ‘Integrated wrapper/TAM co-optimization, constraint-driven test scheduling, and tester data volume reduction for SOCs’. DAC, 2002, pp. 685–690.
    111. 111)
      • Hetherington, G., Fryars, T., Tamarapalli, N., Kassab, M., Hassan, A., and Rajski, J.: ‘Logic BIST for large industrial designs: real issues and case studies’. ITC, 1999, pp. 358-367.
    112. 112)
      • Larsson, E., and Fujiwara, H.: ‘Optimal system-on-chip test scheduling’. ATS, 2003, pp. 306–311.
    113. 113)
      • Iyengar, V., and Chandra, A.: ‘A unified SOC test approach based on test data compression and TAM design’. DFT, 2003, pp. 511–518.
    114. 114)
      • J. Rajski , M. Kassab , N. Mukherjee , N. Tamarapalli , J. Tyszer , J. Qian . Embedded deterministic test for low-cost manufacturing. IEEE Des. Test Comput. , 58 - 66
    115. 115)
      • Goel, S.K., and Marinissen, E.J.: ‘Layout-driven SOC test architecture design for test time and wire length minimization’. DATE, 2003, pp. 738–743.
    116. 116)
      • Goel, S.K., and Marinissen, E.J.: ‘A novel test time reduction algorithm for test architecture design for core-based system chips’. ETW, 2002, pp. 7–12.
    117. 117)
      • Zou, W., Reddy, S.M., Pomeranz, I., and Huang, Y.: ‘SOC test scheduling using simulated annealing’. VTS, 2003, pp. 325–330.
    118. 118)
      • Iyengar, V, Goel, S.K., Chakrabarty, K., and Marinissen, E.J.: ‘Test resource optimization for multi-site testing of SOCs under ATE memory depth constraints’. ITC, 2002, pp. 1159–1168.
    119. 119)
      • Zorian, Y.: ‘Test requirements for embedded core-based systems and IEEE P1500’. ITC 1997, pp. 191–199.
    120. 120)
      • Bouwman, F., Oostdijk, S., Stans, R., Bennetts, B., and Beenker, F.: ‘Macro testability; the results of production device applications’. ITC, 1992, pp. 232–241.
http://iet.metastore.ingenta.com/content/journals/10.1049/ip-cdt_20045019
Loading

Related content

content/journals/10.1049/ip-cdt_20045019
pub_keyword,iet_inspecKeyword,pub_concept
6
6
Loading
This is a required field
Please enter a valid email address