Skip to main content
Log in

A Fast Macro-Model for Substrate Coupling and Ground Bounce Induced Substrate Noise Simulation in Mixed Signal VLSI

  • Published:
Analog Integrated Circuits and Signal Processing Aims and scope Submit manuscript

Abstract

This paper discusses a novel substrate modeling technique for the simulation of substrate noise in mixed signal VLSI systems. This model yields to easy merger with the SPICE simulation netlist for the complete pre and post layout estimation of substrate noise effects in large mixed signal VLSI chips. Compared to previous numerous efforts in substrate noise modeling ranging from finite element methods (FEM) to boundary elements methods (BEM), this model, based on a finite sheet resistor slicing scheme also incorporates the effect of supply rail bounce due to bonding wire inductances, and, provides realistic estimates of substrate noise effects with a high degree of computational efficiency. Substrate noise simulations were done using a 0.18 μm TSMC CMOS process technology using typical process parameters. A differential switched capacitor sample and hold circuit and a linear differential transconductor stage was used for the performance evaluation of this novel substrate model. Simulation results indicate a typical increase in Total Harmonic Distortion (THD) by atleast 6 dB due to the substrate noise effects, which corresponds to a performance loss by around 1-b precision. Also, the substrate noise effects are found to be proportional to the oversampling ratio (i.e., the digital clocking rate with respect to the input signal) and the net number of logic transitions at each register transfer instance in the mixed signal chip.

This is a preview of subscription content, log in via an institution to check access.

Access this article

Price excludes VAT (USA)
Tax calculation will be finalised during checkout.

Instant access to the full article PDF.

Similar content being viewed by others

References

  1. M. Nagata and A. Iwata, “Substrate noise simulation techniques for analog-digital mixed LSI design.” IEICE Trans. Fundamentals, vol. E82-A, no. 2, pp. 271–278, 1999.

    Google Scholar 

  2. R. Gharpurey and R.G. Meyer, “Modeling and analysis of substrate coupling in integrated circuits.” IEEE J. Solid-State Circuits, vol. 31, no. 3, pp. 344–353, 1996.

    Google Scholar 

  3. K. Joardar, “A simple approach to modeling cross-talk in integrated circuits.” IEEE J. Solid-State Circuits, vol. 29, no. 10, pp. 1212–1219, 1994.

    Google Scholar 

  4. J.P. Costa, M. Chou, and L.M. Silveira, “Efficient techniques for accurate modeling and simulation of substrate coupling in mixed-signal IC's.” IEEE Trans. CAD of Integrated Circuits, vol. 18, no. 5, pp. 1282–1292, 1999.

    Google Scholar 

  5. N. Masoumi, M.I. Elmasry, and S.S. Naeini, “Fast and efficient parametric modeling of contact-to-substrate coupling.” IEEE Trans. CAD of Integrated Circuits, vol. 19, no. 11, pp. 1282–1292, 2000.

    Google Scholar 

  6. M. Felder and J. Ganger, “Analysis of ground-bounce induced substrate noise coupling in a low resistive bulk epitaxial process: Design strategies to minimize noise effects on a mixedsignal chip.” IEEE Trans. CAS II: Analog and Digital Signal Processing, vol. 46, no. 11, pp. 1427–1436, 1999.

    Google Scholar 

  7. Valence Semiconductor internal communication.

  8. D.K. Su, M.J. Loinaz, S. Masui, and B.A. Wooley, “Experimental results and modeling techniques for substrate noise in mixed-signal integrated circuits.” IEEE J. Solid-State Circuits, vol. 28, no. 4, pp. 420–430, 1993.

    Google Scholar 

  9. M.V. Heijningen, M. Badaroglu, S. Donnay, G.G.E. Gielen, and H.J. De Man, “Substrate noise generation in complex digital systems: Efficient modeling and simulation methodology and experimental verification.” IEEE J. Solid-State Circuits, vol. 37, no. 8, pp. 1065–1072, 2002.

    Google Scholar 

  10. K. Parhi and D. Messerschmitt, “Concurrent architectures for two-dimensional recursive digital filtering.” IEEE Trans. CAS, vol. 36, no. 6, pp. 813–829, 1989.

    Google Scholar 

  11. M. Nagata, J. Nagai, T. Morie, and A. Iwata, “Measurements and analyses of substrate noise waveform in mixed signal IC environment.” IEEE Trans. CAD of Integrated Circuits, vol. 19, no. 6, pp. 671–678, 2000.

    Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Rights and permissions

Reprints and permissions

About this article

Cite this article

Hasan, S.R., Shoaei, O. A Fast Macro-Model for Substrate Coupling and Ground Bounce Induced Substrate Noise Simulation in Mixed Signal VLSI. Analog Integrated Circuits and Signal Processing 37, 149–163 (2003). https://doi.org/10.1023/A:1026261523831

Download citation

  • Issue Date:

  • DOI: https://doi.org/10.1023/A:1026261523831

Navigation