MATHA: Multiple sense amplifiers with transceiver for high performance improvement in NoC Architecture

https://doi.org/10.1016/j.micpro.2014.06.001Get rights and content

Highlights

  • The proposed work consists of four stages, namely selection, analysis, and design and performance comparison.

  • First stage – various sense amplifiers are selected for further processing.

  • Second stage – selected sense amplifiers (M-DTSA, MCG-DTSA, MDET-DTSA, S-DTSA) are applied with various traffic rates.

  • Third stage – reconfigurable DTSA for complete transceiver is designed.

  • Fourth stage – performance comparison is made between proposed and conventional approaches.

Abstract

Now-a-days there is much research attempts aim to find out low power consumption in the area of Network-on-chip (NoC), both in architectural as well as algorithmic approach. Even though a lot of Double Tail Sense Amplifiers (DTSA) are used in architectural approach, the conventional DTSA with transceiver exhibits a difficulty of consuming more energy than its indented design during heavy traffic condition. Multiple sense amplifiers with transceiver for high performance improvement in NoC Architecture (MATHA) is designed in this research to eliminate the difficulty. This MATHA is a combination of reconfigurable DTSA and transceiver. The reconfigurable DTSA consist of modified DTSA (M-DTSA), modified clock gating with DTSA (MCG-DTSA), Modified Dual Edge Triggered with DTSA (MDET-DTSA), Soft-DTSA (S-DTSA), graph theory based traffic estimator and multiplexer. Depending upon the traffic rate, one of the DTSA among the available four DTSA is selected and information transferred to the receiver. The proposed MATHA design is evaluated on TSMC 90 nm technology, showing 6.1 GB/s data rate and 0.32 W total link power.

Introduction

Network-on-chip is a booming area for designing current application like multimedia, telecommunication, and real time task [1]. Conventional research mainly focuses on low power, high speed and scalability in networks on chip [2]. Algorithmic [3] and architectural models [4] are made and implemented into the Network-on-chip to provide further performance improvement than existing NoC design. Current Network-on-chip designer’s shows much progress on this architectural level model by introducing external or internal sense amplifier in on chip communication [5]. In addition to transmitter with pre emphasis capacitance for high speed and energy reduction in on chip communication, it requires DC bias circuits at the receiver section. To overcome this issue, voltage sense amplifier is introduced and tested in 90 nm CMOS cross coupled circuit [6]. In small circuit application user cannot identify the worth of voltage sense amplifier so it is refined into Double Tail Sense Amplifier. This DTSA with transceiver consists of pre emphasis capacitance at the transmitter and Double Tail Sense Amplifier at receiver section [7]. A low power consumption model is developed and implemented in many real time applications. Clock gating low power design approach at RTL TSMC 45 nm CMOS application is tested in [8]. CMOS VLSI design has taken us to real working chips that rely on controlled charge recovery to operate at significantly lower power dissipation levels than their existing counterparts. The energy recovering circuits are applied in microcontrollers, memory devices, display drivers, grouped clock networks, and other real time applications [9].

The CG-SAFF (sense-amplifier flip-flop) [10] and DET-SAFF [11] circuit exhibits high speed and low energy, the switching activity and delay of various flip-flops are compared with CG-SAFF and DET-SAFF. Based on synthetic traffic, the performance improvement is achieved in networks with respect to Network traffic modeling [12]. The traffic estimator and generator are introduced for QoS in [13] to generate and estimate the real time traffic data in on chip communication. In this proposed MATHA design, we followed above traffic generator and estimator in traffic model and multiple sense amplifiers. The reconfigurable topology is applied in on chip networks for performance improvement [14], the same methodology is used in the reconfigurable process of this proposed work. Both DET-SAFF and CG-SAFF is refined into modified DET-DTSA (MDET-DTSA) and modified CG-DTSA (MCG-DTSA) and it has been examined under various traffic intensities. To achieve further improvement in DTSA circuitry, we introduce new circuit by adding clock gating and an energy recovery technique [15] called soft DTSA. The goal of this paper is to design multiple sense amplifiers with transceiver for high performance improvement in NoC Architecture (MATHA). The proposed work consists of four stages, namely selection, analysis, and design and performance comparison. In the first stage of our work various sense amplifier selected for further processing and in second stage selected sense amplifiers (M-DTSA, MCG-DTSA, MDET-DTSA, S-DTSA) are applied with various traffic (Data, image, audio, video). In third stage we designed reconfigurable DTSA for complete transceiver. Finally, we compared our results with conventional approaches.

The concept involved in proposed work is shown in Table 1. The rest of this paper is ordered as follows. Section 2 addresses the conventional communication with NoC. Proposed work and its various DTSA details are discussed in Section 3. The proposed experimental results of various architectures are presented in Section 4. Finally, the conclusion is presented in Section 5.

Section snippets

Data communication in NoC

For better data communication in NoC, conventional transceiver consists of pre emphasis capacitance in transmitter section and DTSA circuit in receiver section. Schinkel et al. transceiver for NoC’s is shown in Fig. 1. The use of capacitance in transmitter section is to reduce power dissipation. The overall communication disturbance occurs because of noise and crosstalk [14]. Many researches are doing their research in new hardware and software module development, to overcome this issue.

The

Proposed work

In [7] the Schinkel et al. introduced low power high performance transceiver for NoC; to achieve further improvement here we refined CG-SAFF and DET-SAFF into CG-DTSA and DET-DTSA respectively. The performance comparison of DTSA, CG-DTSA, DET-DTSA and S-DTSA are observed with different traffic intensity. According to the performance and traffic rate DTSA modules are framed and connected to the receiver via bus. The proposed diagram is shown in Fig. 2.

The block diagram of MATHA design is shown

Experimental results and discussions

The power consumption of the modified DTSA with transceiver, MCG-DTSA with transceiver, MDET-DTSA with a transceiver and SDTSA with Transceiver is examined using 90 nm technologies (see Fig. 13, Fig. 14).

Synopsys design compiler is used for gate level net list creation. Synopsys™ Prime Power is used for power analysis [29]. The power consumption is observed under a given traffic pattern. The switching factors are reported by the proposed work and it has been examined in Intel® 3.1 GHz LGA 1155

Conclusion

The proposed work is summarized into Four stages, namely selection, analysis, design and performance comparison. On the first stage, among various sense amplifier’s M-DTSA, MCG-DTSA, MDET-DTSA and S-DTSA are selected. In the second stage, we analyzed that M-DTSA DTSA is suitable for data (11 Gb/s data rate/99fJ Energy), MCG-DTSA is suitable for image input (14 Gb/s data rate 96fJ Energy), MDET-DTSA is suitable for audio input (14 Gb/s data rate 96fJ Energy), MCG-DTSA is suitable for video input (14

Erulappan Sakthivel completed his Bachelor degree in Madurai kamarajar university, Madurai. He completed his Master’s degree in Embedded system and technologies in anna university Thirunelveli. He has eight years of Industrial experience in VLSI domains. Currently He is doing his Ph.D in Anna University Regional Centre, Madurai in VLSI domain as a full time Research Scholar.

References (30)

  • F. Moraes et al.

    Hermes: an infrastructure for low area overhead packet switching networks on chip

    Integration

    (2004)
  • R. Marculescu et al.

    The chip is the network toward a science of Network-on-chip design

    Electron. Des.

    (2007)
  • N. McKeown

    The islip scheduling algorithm for input queued switches

    IEEE ACM T Network

    (1999)
  • F.W. Fang, M.D.F. Wong, Y.W. Chang, Flip chip routing with unified area io pad assignments for package-board co design,...
  • Y.I. Liu, G. Liu, Y. Yang, Z. Li, A novel low swing transceiver for interconnection between NoC routers, in: IEEE...
  • P. Larsson

    Resonance and damping in cmos circuits with on chip decoupling capacitance

    IEEE T Circuits

    (1998)
  • D. Schinkel et al.

    Low power high speed transceivers for Network-on-chip communication

    IEEE T VLSI Syst.

    (2009)
  • P. Zhao et al.

    Design of sequential elements of the low power clocking system

    IEEE T VLSI Syst.

    (2011)
  • V. Tirumalashetty et al.

    Clock gating and negative edge triggering for energy recovery clock circuits and systems

    ISCAS IEEE Int. Symp.

    (2007)
  • H. Mahmoodi et al.

    Ultra low power clocking scheme using energy recovery and clock gating

    IEEE T VLSI Syst.

    (2009)
  • M.W. Phyu et al.

    Power efficient explicit pulsed dual edge triggered sense amplifier flip flop

    IEEE T VLSI Syst.

    (2011)
  • Z. Lu, A. Jantsch, Traffic configuration for evaluating networks on chips, in: IEEE System on Chip for Real Time...
  • W. Xingwei et al.

    An accurate method to estimate traffic matrices from link loads for QoS provision

    J. Commun. Networks

    (2010)
  • Kun Wang, Xian, Changshan Wang, Huaxi Gu, Quality of service routing algorithm in the torusbased network on chip, in:...
  • L.v. Junsheng, Beijing, Hainan Liu, M. Ye, Yumei Zhou, An energy recovery D flip-flop for low power semi-custom ASIC...
  • Erulappan Sakthivel completed his Bachelor degree in Madurai kamarajar university, Madurai. He completed his Master’s degree in Embedded system and technologies in anna university Thirunelveli. He has eight years of Industrial experience in VLSI domains. Currently He is doing his Ph.D in Anna University Regional Centre, Madurai in VLSI domain as a full time Research Scholar.

    Veluchamy Malathi is working as professor in the department of Electrical and Electronics Engineering in Anna University Regional Centre, Madurai. She completed her Bachelor degree in College of Engineering Guindy and her Masters in Thiyagaraja College of Engg, Madurai. She completed her Ph.D in Anna University Chennai and her areas of interest are intelligent techniques and its applications, Smart Grid, FPGA based power system and Automation.

    Muruganantham Arunraja completed his Bachelor degree in Shanmugha college of Engineering, Thanjavur and completed his Master’s degree in Embedded system and technologies in Anna University, Thrunelveli. He has eight years of Industrial experience in Embedded system domains He is doing his Ph.D in Anna University Regional Centre, Madurai His areas of interest are embedded systems, wireless networks and instrumentation.

    View full text