Elsevier

Microelectronic Engineering

Volume 177, 5 June 2017, Pages 35-40
Microelectronic Engineering

Impact of transmission non-uniformity of a wrinkled EUV pellicle for N5 patterning under various illuminations

https://doi.org/10.1016/j.mee.2017.01.031Get rights and content

Highlights

  • Image distortion caused by wrinkled EUV pellicle is calculated.

  • Intensity distribution after passing pellicle is varied with illumination conditions.

  • Impact of wrinkled pellicle in terms of CD non-uniformity is presented.

  • Allowable limit of wrinkle of EUV pellicle is suggested for N5 patterning.

Abstract

To solve the defect problem during the exposure process of EUV lithography (EUVL), an EUV pellicle is suggested as a solution. Even though use of an EUV pellicle is considered an essential solution for defect control during the exposure process, it is not ready for application in real commercial processes due to how difficult it is to manufacture. Due to tight requirements for an EUV pellicle, flawless fabrication is impossible and deformations such as a wrinkle would result in serious patterning problems. These deformations lead to critical dimension (CD) non-uniformity due to the non-uniform transmission distribution caused by a pellicle wrinkle. In this paper, we discuss the impact of transmission non-uniformity caused by a wrinkled pellicle. When we treat the effects of a wrinkled pellicle, we considered off-axis-illumination, which is a promising resolution enhancement technology. By shrinking the target pattern size down to 7 nm nodes (N7) or 5 nm nodes (N5), a flexible illumination system is required to enhance the resolution ability. With dipole illuminations, resolution is varied by partial coherence and by not only the beam size at the pellicle, but also by the incident angle distribution. For these reasons, a non-uniform intensity distribution caused by a wrinkled pellicle is modified with changes in off-axis illumination conditions, including spatial coherence and the aperture shape. For N5 patterning, the CD non-uniformity of 0.2 nm occurs at 1.7% transmission variation (2-pass). However, the intensity distribution is varied under various illumination conditions in spite of the same pellicle conditions. The intensity non-uniformity goes up with increasing spatial coherence. Even though feature conditions of the wrinkled pellicle are the same, the transmission non-uniformity is changed with illumination conditions. Thus, the allowable feature conditions of the wrinkled pellicle may be changed. For achieving good CD uniformity, the allowable limit of the pellicle wrinkle is carefully studied because the impact of the wrinkled pellicle varies with the illumination conditions.

Introduction

EUV lithography is one of the more promising technologies used to fabricate integrated chips with 1 × nm dimensions and below [1], [2]. EUV lithography has an exceptional capability to produce sophisticated logic patterns because of the high resolution of UV light. However, some obstacles still need to be solved to allow for high volume manufacturing [3]. Among various problems, defect control is a serious problem that must be solved before mass production can take place. To solve the defect problem during the exposure process, an EUV pellicle is suggested as a solution [2], [3], [4]. The EUV pellicle is a thin membrane that protects the mask from contamination by wrapping the mask. Even though the EUV pellicle is considered as an essential solution for defect control during exposure process, it is not ready for application in a real-world process because it is hard to precisely manufacture. Due to tight requirements for the EUV pellicle [5], flawless fabrication is impossible and it is very fragile. Deformations, such as a wrinkle, would cause a non-uniform transmission distribution involving the critical dimension (CD) [5], [6], [7]. CD non-uniformity is a critical problem for device production, thus the transmission non-uniformity study of a wrinkled pellicle is very important to realizing practical applications of the pellicle.

By shrinking the target pattern size down to 5 nm nodes (N5), a conventional illumination system with a 0.33 numerical Aperture (NA) meets the resolution limit based on Rayleigh criterion [2], [8], [9], [10]. In order to extend the resolution, we need a high NA system because it is impossible to develop N5 pattering with a 0.33 NA system owing to the resolution limitation. For N5 patterning, a high 0.55 NA system was suggested, with a large magnification in order to avoid a serious shadow effect [8], [9], [10], [11], [12], [13]. In spite of the high NA system, off-axis illumination (OAI) technology is also required to enhance the resolution ability [8], [9], [10], [11]. For large patterns, circular shaped illumination is usually used, but dipole illumination with small spatial coherence can achieve high contrast with fine patterns. Off-axis illumination technology can enhance the image quality of patterning but it can also affect wrinkled pellicle imaging. With dipole illumination, two poles of illumination are incident on the mask separately and they have a different incident angle distribution. Due to the incident angle distribution, the illumination source size at the pellicle level is varied. Therefore, the non-uniform intensity distribution caused by a wrinkled pellicle changes with variation in off-axis illumination conditions such as the aperture shape and spatial coherence. In this paper, we discuss the wrinkled pellicle effect for N5 pattering. In order to understand the influence of the wrinkled pellicle for the N5 pattering environment, we will treat not only the various illumination conditions but also various pattern sizes.

Section snippets

Optical modeling for wrinkled pellicle with OAI

As mentioned in the introduction section, a pellicle is easily deformed due to its weak structure and such deformation can lead to transmission non-uniformity. In order to calculate the image distortion caused by a wrinkled pellicle, we will optically model the wrinkled pellicle for calculation of transmission variation. First, we express the wrinkled depth of pellicle as a sinusoidal function, as shown in the schematic diagram of optical modeling in Fig. 1 [14].Height of wrinkled pellicle:yx=A

Characteristics of transmission non-uniformity

In this section, we will discuss image distortion caused by the wrinkled pellicle under various illumination conditions. When we calculate the transmission non-uniformity with the wrinkled pellicle, we consider the ideal case of the EUV pellicle. The ideal EUV pellicle was suggested by Intel first [15]. The ideal pellicle has a very simple structure, which can achieve 90% transmission. The specifications of the ideal EUV pellicle are summarized in Table 1. During the past few years, many

CD non-uniformity caused by a wrinkle pellicle with N5 patterns.

After the EUV light passes through the pellicle twice, the wrinkled pellicle leads to a non-uniform intensity distribution, which causes CD non-uniformity. The CD difference between the bright region and dark region is linearly proportional to the intensity difference [14]. In this section, we will measure the CD non-uniformity as a function of transmission non-uniformity and then discuss the CD non-uniformity of N5 patterns caused by a wrinkled pellicle.

In order to know the impact of the

Conclusion

The EUV pellicle is an essential solution for the protection of a mask and it should have an extremely thin thickness for high transmission. Due to the weak structure of the pellicle, a wrinkled pellicle is an unavoidable problem. A pellicle wrinkle leads to a non-uniform intensity distribution caused by transmission variations. Owing to the reflective optics and oblique incidence of EUVL, the intensity non-uniformity varies according to the period of the wrinkle after passing the pellicle

Acknowledgement

This research was supported partly by Samsung electronics mask development team and partly by the Nano Material Technology Development Program through the National Research Foundation of Korea (NRF), and is funded by the Ministry of Education, Science and Technology (No. 2015M3A7B7045353).

References (17)

  • B.L. Henke et al.

    X-ray interactions: photoabsorption, scattering, transmission, and reflection at E 1/4 50-30000 eV, Z 1/4 1-92

    At. Data Nucl. Data Tables

    (1993)
  • L. Wilson

    International Technology Roadmap for Semiconductors (ITRS)

    (2013)
  • Bakshi Vivek

    EUV Lithography

    (2009)
  • Kurt Ronse
  • Harry J. Levinson

    Principle of Lithography

    (2005)
  • Derk Brouns

    Pellicle HVM Specifications

    (2016)
  • Luigi Scaccabarozzi et al.

    Investigation of EUV pellicle feasibility

    Proc. of SPIE

    (2013)
  • Dario L. Goldfarb et al.

    Thermo-mechanical behavior of EUV pellicle under dynamic exposure conditions

    Proc. of SPIE

    (2015)
There are more references available in the full text version of this article.

Cited by (9)

View all citing articles on Scopus
View full text