Elsevier

Electrochimica Acta

Volume 53, Issue 23, 1 October 2008, Pages 7004-7011
Electrochimica Acta

Investigation of organics adsorption and inclusion at the growing interfaces during the Damascene process

https://doi.org/10.1016/j.electacta.2008.01.108Get rights and content

Abstract

Copper electroplating is now established as the mainstream process for filling vias and trenches in advanced interconnections schemes. A specific chemistry has been developed to achieve a complete and void free filling of the structures through “bottom-up” growth, also called “superfilling”. The acidic copper electrolyte generally contains three different organic additives (suppressor, accelerator and leveler) and chloride ions [T.P. Moffat, D. Wheeler, M. Edelstein, D. Josell, IBM J. Res. Dev. 49 (2005) 19], which play a key role for the additives adsorption and activation at the wafer surface [W.P. Dow, H.S. Huang, J. Electrochem. Soc. 152 (2005) C67]. However this chemistry leads to a rise in contamination levels incorporated within the copper films, specifically in carbon, sulfur and chlorine [A. Meunier, C. Bondoux, L. Omnès, F. Jomard, A. Etcheberry, Mater. Res. Soc. Symp. Proc. AMC (2006) 137], due to incorporation and/or degradation mechanisms. These inclusions have effects upon the film microstructure (grain size) with some time an increase of the copper film resistivity [A. Preusse, M. Nopper, AMD, Dresden, Germany, Semiconductor Fabtech 26th Edition, Wafer Processing, p. 123].

Here we present a correlation studies with electrochemical quartz crystal microbalance (EQCM) measurements to provide a further insight of the adsorption of these surfactants prior and during the plating process. A study by secondary ion mass spectrometry (SIMS) which aims at better understanding the inclusion of organic by-products in the copper matrix during the plating process will also be presented. A particular emphasis will be put on the mechanisms of segregation of these species at the growing interfaces with respect to the deposition parameters.

Introduction

In the Damascene process, the device wiring size reduction stays a challenging issue. The copper is electrodeposited (ECD) to obtain a defect-free filling of high aspect ratio sub-micronic features [5], [6]. The bath used is an acidic copper electrolyte (CuSO4 + H2SO4) containing some organic additives, usually suppressor, accelerator and leveler species in low concentration and a few ppm of chloride [3], [7], [8], [9], [10], [11], [12]. The diversity of patterned structures implies various additives formulations. Thus, optimization of bath composition and current sequences requires an understanding of synergistic and/or competitive effects which take place in the interfacial zone. Complicated local phenomena such as adsorption/desorption at the electrode surface and incorporation of organics in the metallic matrix have to be considered. Indeed, the resulting overall process is a subtle balance between inhibition of the copper deposition generated by suppressor/halide complex and the disruption of this inhibition by accelerator molecules. These considerations support the main idea of the area change concept that explains the origin of the superfilling effect. To be exhaustive, it must be added that adsorbates repartition depends on both additives set formulation and cathode polarization. So, incorporation of additives (or additives fragments) in the copper matrix results from the deposition. These organic traces have an impact upon copper crystallization and a related effect on the electrical properties of the thin film. It is thus of importance to understand and control this phenomenon in order to obtain the desired copper film properties.

The overall effect on copper growth of a specific additives set results from the synergistic/co-operative effects that take place between the three types of molecules. Relative concentration ratio between additives will play a key role for defining their impact on the copper deposition. To evaluate the performance of a specific additive set, different strategies can be applied: test of filling on patterned structures or more fundamental electroanalytical studies, performed by electrochemistry on simple planar structure. Although a planar geometry is far from the specific via features, it is now well established that electroanalytical data collected on planar electrodes can describe adsorption and ageing of additives with good correlation of observations made on patterned configurations. For example, kinetic parameters were successfully injected in the curvature-enhanced-accelerator coverage model (CEAC) to predict evolution of via filling [1], [13], [14], [15]. Dow et al. have shown that using non-rotating planar electrodes allows to reproduce the electrolyte flux in via bottom [16], [17]. Kinetic of copper deposition has also been studied with more classical approach such as rotating disc electrode (RDE) [10], [18], [19], [20], [21] or rotating ring-disc electrode (RRDE) in order to identify the Cu+ intermediate [5], [22], [23], [24]. Electrochemical impedance spectroscopy (EIS) can also give information on the copper deposition and the kinetic evolution in presence of additives [21], [9], [25], [26]. Finally EQCM, which follows both the in situ mass change and the corresponding electrochemical responses, enables the study of kinetics under potentiostatic or galvanostatic conditions [27], [28], [29], [30].

In this paper we present results on copper electrodeposition performed on planar surfaces. Our aim is also to evaluate the inclusion of organic fragment within the copper matrix and to relate this incorporation to the deposition process parameters. Thus, SIMS profiles completed by electroanalytical characterization and mass evolution data will be presented in order to shed some light on this synergistic process.

Section snippets

Experimental

All EQCM experiments were done using as working electrode quartz crystals, gold plated on both side (Maxtek, CA). The nominal frequency of the quartz crystals is fo = 5 MHz. The geometric area of the gold surface in contact with the electrolyte was 1.37 cm2. Before data collection, a chronoamperometric calibration method was used to calibrate the EQCM [31]. The variation of the frequency (Δf) was used to determine the mass variation (Δm) thanks to the Sauerbrey equation [32], [33].

The

Influence of the additives detected by cyclic voltammetry and mass variation

Cyclic voltammetry was recorded successively in VMS (Fig. 1) and with the full set of additives at nominal values (Fig. 2). Fig. 1 shows the reduction/oxidation cycles of the Cu2+/Cu species on the gold surface without additive. During the negative potential sweep, a well-defined cathodic current peak is observed, corresponding to the Cu2+ reduction. It coincides with a mass increase detected by the crystal frequency decay. The reverse scan shows the anodic peak of the copper stripping, leading

Conclusion

In this paper, the effect of specific organic additives upon copper electrodeposition has been studied with respect to parameters, such as concentration or current density. The work was based on electrochemical measurements as cyclic voltammetry and static galvanommetry, follow by ECQM, and SIMS analysis. Several properties have been highlighted. First, the voltammetric experiments have shown the evolution of the organics surface coverage from initial adsorption of suppressive species towards a

Acknowledgements

The authors thank the Agence Nationale de la Recherche (PNANO program) for financial support. Rockwood Electronic Materials UPC is also acknowledged for funding and for chemical supply.

References (44)

  • V.M. Dubin

    Microelect. Eng.

    (2003)
  • B. Bozzini et al.

    Electrochim. Acta

    (2007)
  • T.P. Moffat et al.

    Electrochim. Acta

    (2007)
  • K. Kondo et al.

    J. Electroanal. Chem.

    (2003)
  • C. Gabrielli et al.

    Electrochim. Acta

    (2006)
  • S. Varvara et al.

    Mater. Chem. Phys.

    (2001)
  • N.M. Marković et al.

    Surf. Sci.

    (1995)
  • A.I. Danilov et al.

    Electrochim. Acta

    (1998)
  • M. Zhou et al.

    J. Electroanal. Chem.

    (1995)
  • A. Zouhou et al.

    Microelectr. Eng.

    (2001)
  • V. Tsionsky et al.

    Mater. Sci. Eng.

    (2001)
  • G. Vatankhah et al.

    Electrochim. Acta

    (2003)
  • M.R. Deakin et al.

    J. Electroanal. Chem.

    (1988)
  • M. Stangl et al.

    Microelectron. Eng.

    (2007)
  • K.W. Chen et al.

    Surf. Coat. Tech.

    (2006)
  • T.P. Moffat et al.

    IBM J. Res. Dev.

    (2005)
  • W.P. Dow et al.

    J. Electrochem. Soc.

    (2005)
  • A. Meunier et al.

    Mater. Res. Soc. Symp. Proc. AMC

    (2006)
  • A. Preusse, M. Nopper, AMD, Dresden, Germany, Semiconductor Fabtech 26th Edition, Wafer Processing (2005) p....
  • P.C. Andricacos et al.

    IBM J. Res. Dev.

    (1998)
  • M. Petri et al.

    J. Electrochem. Soc.

    (2004)
  • M. Hayase et al.

    Electrochem. Solid-State Lett.

    (2003)
  • Cited by (22)

    • Investigation of novel leveler Rhodamine B on copper superconformal electrodeposition of microvias by theoretical and experimental studies

      2023, Applied Surface Science
      Citation Excerpt :

      Likewise, there is a decline trend in the anodic stripping area with the increase of RhB concentration, indicating that the number of copper deposits is decreased since copper deposition is suppressed when there is more RhB in the electrolyte. To evaluate the suppressing ability of RhB quantitively, the anodic stripping coulomb was calculated [49], as is shown in Figure 1 (b). It can be seen that the anodic stripping coulomb after the introduction of RhB is decreased obviously.

    • The influence of leveler Brilliant Green on copper superconformal electroplating based on electrochemical and theoretical study

      2023, Journal of Industrial and Engineering Chemistry
      Citation Excerpt :

      Besides, the peak areas and peak heights decrease with the rising of BG concentration. kThe anodic stripping coulomb could be used to quantitively analyze the suppressing ability since the number of copper deposits decreases with the enhanced suppressing ability [37]. The calculated anodic stripping coulomb results were shown in Fig. 2 (b).

    • Development of nanotwins in electroplated copper and its effect on shear strength of tin/copper joint

      2018, Journal of Alloys and Compounds
      Citation Excerpt :

      The use of functional additives in the Cu plating bath can improve the film quality and assists the deposition of Cu in a high-aspect-ratio trench [2,16]. However, these adsorptive additives or their disrupting species inevitably incorporate into the Cu plated layer, resulting in a high level of impurity incorporation [17–19]. The incorporated impurities block the vacancy annihilation sites such as grain boundary and dislocation in the Cu plated layer, which accelerates coalescence of intrinsic or Kirkendall vacancy to form voids in the solder joints [20–22].

    • Pulse electro-deposition of copper on molybdenum for Cu(In,Ga)Se<inf>2</inf> and Cu<inf>2</inf>ZnSnSe<inf>4</inf> solar cell applications

      2016, Journal of Power Sources
      Citation Excerpt :

      For example, Moffat et al. declared that the size of the “dendritic” could be decreased by an optimization composition of organic additives in the solution according to their simulation [25,26], and Gu et al. [27] had electro-deposited Cu layer by modifying copper nucleation rate and the number of nuclei on glassy carbon in the solution with organic additives. However, the “dendritic” shape on the Cu surface was not eliminated, and the additives were still incorporated into Cu layers as impurities [8,13,16,20,25–27]. Pulse current electro-deposition method is widely used to reduce the requirement for additives and obtain smooth deposit layer [28,29].

    • Efficacy of polyacrylamide and protein flocculants in preventing anode depassivation induced Pb-contamination of copper electrowinning cathodes

      2011, Hydrometallurgy
      Citation Excerpt :

      These flocculants are long cationic polymeric chains that may be adsorbed, and modify the polarisation of the cathodes. Most additives in the copper electro-deposition practice are used as leveller (glue), brightener (Guar), grain refiner or accelerator (thiourea) or depolariser (Cl−) (Favry et al., 2008; Moffat et al., 2005; Meunier et al., 2006; Zhang et al., 2009). A comparative study of the efficiency of Guarfloc 66 and the activated polyacrylamide (APAM), Magnafloc 800 HP, showed that more uniform surfaces and lower roughness copper deposits were obtained using APAM rather than Guarfloc 66.

    View all citing articles on Scopus
    View full text