Skip to main content
Log in

A New Hybrid Fault-Tolerant Architecture for Digital CMOS Circuits and Systems

  • Published:
Journal of Electronic Testing Aims and scope Submit manuscript

Abstract

This paper presents a new hybrid fault-tolerant architecture for robustness improvement of digital CMOS circuits and systems. It targets all kinds of errors in combinational part of logic circuits and thus, can be combined with advanced SEU protection techniques for sequential elements while reducing the power consumption. The proposed architecture combines different types of redundancies: information redundancy for error detection, temporal redundancy for soft error correction and hardware redundancy for hard error correction. Moreover, it uses a pseudo-dynamic comparator for SET and timing errors detection. Besides, the proposed method also aims to reduce power consumption of fault-tolerant architectures while keeping a comparable area overhead compared to existing solutions. Results on the largest ISCAS’85 and ITC’99 benchmark circuits show that our approach has an area cost of about 3 % to 6 % with a power consumption saving of about 33 % compared to TMR architectures.

This is a preview of subscription content, log in via an institution to check access.

Access this article

Price excludes VAT (USA)
Tax calculation will be finalised during checkout.

Instant access to the full article PDF.

Fig. 1
Fig. 2
Fig. 3
Fig. 4
Fig. 5
Fig. 6
Fig. 7
Fig. 8
Fig. 9
Fig. 10
Fig. 11

Similar content being viewed by others

Reference

  1. Semiconductor Industry Association (SIA), “International Technology Roadmap for Semiconductors (ITRS)”, 2011

  2. I. Koren, C. M. Krishna, “Fault-Tolerant Systems”, Ed. Organ Kaufmann, 2007

  3. Nicolaidis M, Anghel L, Achouri N (2005) Memory defect tolerance architectures for nanotechnologies”. J of Electronic Testing 21(4):445–455

    Article  Google Scholar 

  4. Chin-Lung Su, Yi-Ting Yeh, Cheng-Wen Wu, “An Integrated ECC and Redundancy Repair Scheme for Memory Reliability Enhancement”, Proc. of the 20th Int. Sym. on Defect and Fault-Tolerance in VLSI Systems (DFT’05), pg. 81–92, 2005

  5. Lyons RE, Vanderkulk W (1962) The use of triple-Modular redundancy to improve computer reliability”. IBM J Res Dev 6(2):200–209

    Article  MATH  Google Scholar 

  6. Zhang M, Mitra S, Mak TM, Seifert N, Wang NJ, Shi Q, Kim KS, Shanbhag NR, Patel SJ (2006) Sequential element design with built-in soft error resilience. IEEE Transactions on Very Large Scale Integration (VLSI) Systems 14(12):1368–1378

    Article  Google Scholar 

  7. D. Ernst, N. S. Kim, S. Das, S. Pant, R. Rao, T. Pham, C. Ziesler, D. Blaauw, T. Austin, K. Flautner, T. Mudge, “Razor: A Low-Power Pipeline Based on Circuit-Level Timing Speculation”, Proc. of the 36th Annual IEEE/ACM Int. Sym. on Microarchitecture (MICRO-36), pg. 7–18, December 2003

  8. Das S, Tokunaga C, Pant S, Ma W-H, Kalaiselvan S, Lai K, Bull DM, Blaauw DT (2009) Razor II: In situ error detection and correction for PVT and SER tolerance”. IEEE J of Solid-State Circuits 44(1):32–48

    Article  Google Scholar 

  9. M. E. Imhof, H.-J. Wunderlich, “Soft Error Correction in Embedded Storage Elements”, Proc. of IEEE International On-Line Testing Symposium (IOLTS11), pp. 169–174, 2011

  10. J. Vial, A. Bosio, P. Girard, C. Landrault, S. Pravossoudovitch and A.Virazel, “Using TMR Architectures for Yield Improvement”, Int. Symp.on Defect and Fault-tolerance in VLSI Systems, pp. 7–15, 2008

  11. Vial J, Virazel A, Bosio A, Girard P, Landrault C, Pravossoudovitch S (2009) Is TMR suitable for yield improvement?”. IET Comput Digit Tech 3(6):581–592

    Article  Google Scholar 

  12. D. A. Tran, A. Virazel, A. Bosio, L. Dilillo, P. Girard, S. Pravossoudovitch, H.-J. Wunderlich, “A Hybrid Fault Tolerant Architecture for Robustness Improvement of Digital Circuits”, Proc. of the 20th IEEE Asian Test Symposium (ATS11), pp. 136–141, 2011

  13. P. Shivakumar et al., “Modeling the effect of technology trends on the soft error rate of combinational logic”, Int. Conf. on Dependable Systems and Networks, pp. 389–398, 2002

  14. J. Velamala et al., “Design sensitivity of Single Event Transients in scaled logic circuits”, Design Automation Conf., pp. 694–699, 2011

  15. D.A. Tran, A. Virazel, A. Bosio, L. Dilillo, P. Girard, A. Todri, M.E. Imhof, H.-J. Wunderlich, “A Pseudo-Dynamic Comparator for Error Detection in Fault Tolerant Architectures”, Proc. of the 30th IEEE VLSI Test Symposium (VTS12), pp. 50–55, 2012

  16. M. Nicolaidis, “Graal: a new fault tolerant design paradigm for mitigating the flaws of deep nanometric technologies”, Proc. of IEEE International Test Conference (ITC07), pp.1–10, 2007.

  17. S. Valadimas, Y. Tsiatouhas, A. Arapoyanni, "Timing error tolerance in nanometer ICs", in Proc. of IEEE IOLTS, pp.283-288, 2010

  18. D. J. Palframan, N. S. Kim, M. H. Lipasti, “Time Redundant Parity for Low-Cost Transient Error Detection”, in Proc. of IEEE Design, Automation & Test in Europe, pp. 1–6, March 2011

  19. N. Avirneni, V. Subramanian and A.K. Somani, “Low overhead Soft Error Mitigation techniques for high-performance and aggressive systems”, Dependable Systems & Networks, pp.185-194, 2009

  20. S. Gupta, F. Shuguang Feng, A. Ansari, J. Blome and S. Mahlke, “The StageNet fabric for constructing resilient multicore systems”, Int. Symp. on Microarchitecture, pp.141-151, 2008

  21. J. Yao, H. Shimada, K. Kobayashi, “A Stage-Level Recovery Scheme in Scalable Pipeline Modules for High Dependability”, Int. Workshop on Innovative Architecture for Future Generation High Performance, pp. 21–29, 2010

  22. M. Mehrara, M. Attariyan, S. Shyam, K. Constantinides, V. Bertacco and T. Austin, “Low-Cost Protection for SER Upsets and Silicon Defects”, Design, Automation & Test in Europe Conference, pp. 1–6, 2007

  23. S. Mitra, E. J. McCluskey, “Word-voter: a new voter design for triple modular redundant systems”, Proc. of the IEEE 18th VLSI Test Symposium, pg. 465–470, 2000

  24. Nangate, 45nm Open Cell Library v1.3, http://www.nangate.com, 2009

  25. Zhao W, Cao Y (2007) “Predictive technology model for nano-CMOS design exploration”, ACM Journal on Emerging Technologies in Computing Systems

    Google Scholar 

  26. J. E. Stine, I. Castellanos, M. Wood, J. Henson, F.Love, “FreePDK: An Open-Source Variation-Aware Design Kit”, IEEE Int. Conf. on Microelectronic Systems Education, pg. 173–174, 2007

Download references

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to A. Virazel.

Additional information

Responsible Editor: N. A. Touba

This paper is an extended version of previously published papers. Main contributions of this paper with respect to [12, 15] are:

• Integration of the pseudo-dynamic comparator proposed in [15] in the hybrid fault tolerant architecture

• A complete implementation of the initial solution proposed in [12] with respect to timing constraints.

• A complete analysis of the hybrid fault tolerant architecture in terms of power consumption and silicon area.

Rights and permissions

Reprints and permissions

About this article

Check for updates. Verify currency and authenticity via CrossMark

Cite this article

Tran, D.A., Virazel, A., Bosio, A. et al. A New Hybrid Fault-Tolerant Architecture for Digital CMOS Circuits and Systems. J Electron Test 30, 401–413 (2014). https://doi.org/10.1007/s10836-014-5459-3

Download citation

  • Received:

  • Accepted:

  • Published:

  • Issue Date:

  • DOI: https://doi.org/10.1007/s10836-014-5459-3

Keywords

Navigation