Skip to main content

Delay Uncertainty in Single- and Multi-Wall Carbon Nanotube Interconnects

  • Conference paper

Part of the book series: Lecture Notes in Computer Science ((LNTCS,volume 7373))

Abstract

Carbon nanotube (CNT) has become the promising candidate for replacing the traditional copper based interconnect systems in future VLSI technology nodes. This paper analyzes delay uncertainty due to crosstalk in the Single- and Multi-wall CNT bundle based interconnect systems. Results are compared with traditional copper based interconnect systems. It is shown that the average crosstalk induced delay is within 60.5% over normal interconnect delay for double MWCNT as compared to 76.6% for copper and 72-75.2% for SWCNT and MWCNT bundle based interconnects. The average delay uncertainty with respect to Cu interconnects for SWCNT bundle based interconnect is found to be 75.3% and 84.6% for densely and sparsely packed SWCNT bundles, respectively, whereas it is 84.3% for MWCNT bundle and 61.6% for double MWCNT based interconnects.

This is a preview of subscription content, log in via an institution.

Buying options

Chapter
USD   29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD   39.99
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD   54.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Learn about institutional subscriptions

Preview

Unable to display preview. Download preview PDF.

Unable to display preview. Download preview PDF.

References

  1. ITRS reports, http://www.itrs.net/reports.html

  2. Burke, P.J.: Luttinger Liquid Theory as a Model of the Gigahertz Electrical Properties of Carbon Nanotubes. IEEE TNANO 1(3), 119–144 (2002)

    Google Scholar 

  3. Srivastava, N., Li, H., Kreupl, F., Banerjee, K.: On the Applicability of Single-Walled Carbon Nanotubes as VLSI Interconnects. IEEE TNANO 8(4) (2009)

    Google Scholar 

  4. Naeemi, A., Meindl, J.D.: Compact Physical Models for Multiwall Carbon-Nanotube Interconnects. IEEE EDL 27(5), 338–340 (2006)

    Article  Google Scholar 

  5. Naeemi, A., Sarvari, R., Meindl, J.D.: Performance Comparison Between Carbon Nanotube and Copper Interconnects for Gigascale Integration (GSI). IEEE EDL 26(2) (2005)

    Google Scholar 

  6. Raychowdhury, A., Roy, K.: Modeling of Metallic Carbon-Nanotube Interconnects for Circuit Simulations and a Comparison with Cu Interconnects for Scaled Technologies. IEEE TCAD 25(1), 58–65 (2006)

    Google Scholar 

  7. Nieuwoudt, A., Mondal, M., Massoud, Y.: Predicting the Performance and Reliability of Carbon Nanotube Bundles for On-Chip Interconnect. In: ASPDAC, pp. 708–713 (2007)

    Google Scholar 

  8. Maffucci, A., Miano, G., Villone, F.: Performance Comparison Between Metallic Carbon Nanotube and Copper Nano-Interconnects. IEEE Trans. Advanced Packaging 31(4) (2008)

    Google Scholar 

  9. Pasricha, S., Kurdahi, F.J., Dutt, N.: Evaluating Carbon Nanotube Global Interconnects for Chip Multiprocessor Applications. IEEE TVLSI 18(9), 1376–1380 (2010)

    Google Scholar 

  10. Rossi, D., Cazeaux, J.M., Metra, C., Lombardi, F.: Modeling crosstalk effects in CNT bus architectures. IEEE TNANO 6(2), 133–145 (2007)

    Google Scholar 

  11. Jia, L., Yin, W.-Y.: Temperature Effects on Crosstalk in Carbon Nanotube Interconnects. In: Proc. Asia-Pacific Microwave Conference, pp. 1–4 (2008)

    Google Scholar 

  12. Pu, S.-N., Yin, W.-Y., Mao, J.-F., Liu, Q.H.: Crosstalk Prediction of Single- and Double-Walled Carbon-Nanotube (SWCNT/DWCNT) Bundle Interconnects. IEEE TED 56(4) (2009)

    Google Scholar 

  13. D’Amore, M., Sarto, M.S., Tamburrano, A.: Signal Integrity of Carbon Nanotube Bundles. In: Proc. IEEE Int. Symposium on Electromagnetic Compatibility, pp. 1–6 (2007)

    Google Scholar 

  14. D’Amore, M., Sarto, M.S., Tamburrano, A.: Transient analysis of crosstalk coupling between high-speed carbon nanotube interconnects. In: Proc. IEEE Int. Symposium on Electromagnetic Compatibility, pp. 1–6 (2008)

    Google Scholar 

  15. D’Amore, M., Sarto, M.S., Tamburrano, A.: Fast Transient Analysis of Next-Generation Interconnects Based on Carbon Nanotubes. IEEE TEMC 52(2), 496–503 (2010)

    Google Scholar 

  16. Sun, P., Luo, R.: Analytical Modeling for Crosstalk Noise Induced by Process Variations among CNT-based Interconnects. In: Proc. IEEE Int. Symposium on Electromagnetic Compatibility, pp. 103–107 (2009)

    Google Scholar 

  17. Chiariello, A.G., Maffucci, A., Miano, G., Villone, F.: High Frequency and Crosstalk Analysis of VLSI Carbon Nanotube Nanointerconnects. In: Proc. EMC Europe, Int. Symposium on Electromagnetic Compatibility, pp. 1–4 (2009)

    Google Scholar 

  18. Chen, W.C., Yin, W.-Y., Jia, L., Liu, Q.H.: Electrothermal Characterization of Single-Walled Carbon Nanotube (SWCNT) Interconnect Arrays. IEEE TNANO 8(6), 718–728 (2009)

    Google Scholar 

  19. Das, D., Rahaman, H.: Crosstalk analysis in Carbon Nanotube interconnects and its impact on gate oxide reliability. In: Proc. ASQED, pp. 272–279 (2010)

    Google Scholar 

  20. Kim, W., Javey, A., Tu, R., Cao, J., Wang, Q., Dai, H.: Electrical contacts to carbon nanotubes down to 1 nm in diameter. Appl. Phys. Lett. 87, 173101-1–173101-3 (2005)

    Google Scholar 

  21. Sarto, M.S., Tamburrano, A.: Single-Conductor Transmission-Line Model of Multiwall Carbon Nanotubes. IEEE TNANO 9(1), 82–92 (2010)

    Google Scholar 

  22. Sato, S., et al.: Novel approach to fabricate carbon nanotube via interconnects using size-controlled catalyst nanoparticles. In: Proc. Int. Interconnect Technol. Conf., pp. 230–232 (2006)

    Google Scholar 

  23. Naeemi, A., Meindl, J.D.: Design and Performance Modeling for Single-Walled Carbon Nanotubes as Local, Semiglobal, and Global Interconnects in Gigascale Integrated Systems. IEEE TED 54(1), 26–37 (2007)

    Article  Google Scholar 

  24. Predictive Technology Model, http://ptm.asu.edu/

  25. Nieuwoudt, A., Massoud, Y.: On the Optimal Design, Performance, and Reliability of Future Carbon Nanotube-Based Interconnect Solutions. IEEE TED 55(8), 2097–2110 (2008)

    Article  Google Scholar 

  26. Naeemi, A., Meindl, J.D.: Performance Modeling for Single- and Multiwall Carbon Nanotubes as Signal and Power Interconnects in Gigascale Systems. IEEE TED 55(10), 2574–2582 (2008)

    Article  Google Scholar 

  27. Li, H., Yin, W.-Y., Banerjee, K., Mao, J.-F.: Circuit Modeling and Performance Analysis of Multi-Walled Carbon Nanotube Interconnects. IEEE TED 55(6), 1328–1337 (2008)

    Article  Google Scholar 

  28. Nieuwoudt, A., Massoud, Y.: Understanding the Impact of Inductance in Carbon Nanotube Bundles for VLSI Interconnect Using Scalable Modeling Techniques. IEEE TED 5(6), 758–765 (2006)

    Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Editor information

Editors and Affiliations

Rights and permissions

Reprints and permissions

Copyright information

© 2012 Springer-Verlag Berlin Heidelberg

About this paper

Cite this paper

Das, D., Rahaman, H. (2012). Delay Uncertainty in Single- and Multi-Wall Carbon Nanotube Interconnects. In: Rahaman, H., Chattopadhyay, S., Chattopadhyay, S. (eds) Progress in VLSI Design and Test. Lecture Notes in Computer Science, vol 7373. Springer, Berlin, Heidelberg. https://doi.org/10.1007/978-3-642-31494-0_33

Download citation

  • DOI: https://doi.org/10.1007/978-3-642-31494-0_33

  • Publisher Name: Springer, Berlin, Heidelberg

  • Print ISBN: 978-3-642-31493-3

  • Online ISBN: 978-3-642-31494-0

  • eBook Packages: Computer ScienceComputer Science (R0)

Publish with us

Policies and ethics