Skip to main content

Formal Verification of Gate-Level Multiple Side Channel Parameters to Detect Hardware Trojans

  • Conference paper
  • First Online:

Part of the book series: Communications in Computer and Information Science ((CCIS,volume 694))

Abstract

The enhancements in functionality, performance, and complexity in modern electronics systems have ensued the involvement of various entities, around the globe, in different phases of integrated circuit (IC) manufacturing. This environment has exposed the ICs to malicious intrusions also referred as Hardware Trojans (HTs). The detection of malicious intrusions in ICs with exhaustive simulations and testing is computationally intensive, and it takes substantial effort and time for all-encompassing verification. In order to overcome this limitation, in this paper, we propose a framework to formally model and analyze the gate-level side channel parameters, i.e., dynamic power and delay, for Hardware Trojan detection. We used the nuXmv model checker for the formal modeling and analysis of integrated circuits due to its inherent capability of handling real numbers and support of scalable SMT-based bounded model checking. The experimental results show that the proposed methodology is able to detect the intrusions by analyzing the failure of the specified linear temporal logic (LTL) properties, which are subsequently rendered into behavioural traces, indicating the potential attack paths in integrated circuits.

This is a preview of subscription content, log in via an institution.

Buying options

Chapter
USD   29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD   39.99
Price excludes VAT (USA)
  • Available as EPUB and PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD   54.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Learn about institutional subscriptions

References

  1. Adee, S.: The hunt for the kill switch. IEEE Spectr. 45(5), 34–39 (2008)

    Article  Google Scholar 

  2. Agrawal, D., Baktir, S., Karakoyunlu, D., Rohatgi, P., Sunar, B.: Trojan detection using IC fingerprinting. In: Symposium on Security and Privacy, pp. 296–310. IEEE (2007)

    Google Scholar 

  3. Anderson, M.S., North, C., Yiu, K.K.: Towards countering the rise of the silicon Trojan. In: Annual Report. Defence Science and Technology Organisation, DSTO-TR-2220, Australia (2008)

    Google Scholar 

  4. Bara, A., Bazargan-Sabet, P., Chevallier, R., Encrenaz, E.: Formal Verification of Timed VHDL Programs. In: Specification & Design Languages, pp. 1–6. IET (2010)

    Google Scholar 

  5. Bhasin, S., Regazzoni, F.: A survey on hardware Trojan detection techniques. In: Circuits and Systems, pp. 2021–2024. IEEE (2015)

    Google Scholar 

  6. Bhunia, S., Hsiao, M.S., Banga, M., Narasimhan, S.: Hardware Trojan attacks: threat analysis and countermeasures. Proceedings of IEEE 102(8), 1229–1247 (2014)

    Article  Google Scholar 

  7. Bozga, M., Jianmin, H.: Maler: verification of asynchronous circuits using timed automata. Electron. Notes Theoret. Comput. Sci. 65(6), 47–59 (2002)

    Article  MATH  Google Scholar 

  8. Cavada, R., Cimatti, A., Dorigatti, M., Griggio, A., Mariotti, A., Micheli, A., Mover, S., Roveri, M., Tonetta, S.: The nuXmv symbolic model checker. In: Biere, A., Bloem, R. (eds.) CAV 2014. LNCS, vol. 8559, pp. 334–342. Springer, Heidelberg (2014). doi:10.1007/978-3-319-08867-9_22

    Google Scholar 

  9. Chakraborty, R.S., Wolff, F., Paul, S., Papachristou, C., Bhunia, S.: MERO: a statistical approach for hardware Trojan detection. In: Clavier, C., Gaj, K. (eds.) CHES 2009. LNCS, vol. 5747, pp. 396–410. Springer, Heidelberg (2009). doi:10.1007/978-3-642-04138-9_28

    Chapter  Google Scholar 

  10. Cimatti, A., Clarke, E., Giunchiglia, E., Giunchiglia, F., Pistore, M., Roveri, M., Sebastiani, R., Tacchella, A.: NuSMV 2: an opensource tool for symbolic model checking. In: Brinksma, E., Larsen, K.G. (eds.) CAV 2002. LNCS, vol. 2404, pp. 359–364. Springer, Heidelberg (2002). doi:10.1007/3-540-45657-0_29

    Chapter  Google Scholar 

  11. Clarisó, R., Cortadella, J.: Verification of timed circuits with symbolic delays. In: Asia and South Pacific Design Automation Conference, pp. 628–633. IEEE (2004)

    Google Scholar 

  12. Di Natale, G., Dupuis, S.: Is side-channel analysis really reliable for detecting hardware Trojans?. In: Design of Circuits and Integrated Systems, pp. 238–242 (2012)

    Google Scholar 

  13. Drechsler, R., et al.: Advanced Formal Verification. Springer (2004)

    Google Scholar 

  14. Force, T.: High performance microchip supply. In: Annual Report. Defense Technical Information Center (DTIC), USA (2005). http://www.acq.osd.mil/dsb/reports/ADA435563.pdf

  15. Jin, Y., Makris, Y.: Hardware Trojan Detection using Path Delay Fingerprint. In: Hardware-Oriented Security and Trust, 2008. pp. 51–57. IEEE (2008)

    Google Scholar 

  16. Lin, J.Y., Liu, T.C., Shen, W.Z.: A cell-based power estimation in CMOS combinational circuits. In: Computer-Aided Design, pp. 304–309. IEEE (1994)

    Google Scholar 

  17. Lodhi, F.K., Abbasi, I., Khalid, F., Hasan, O., Awwad, F., Hasan, S.R.: A self-learning framework to detect the intruded integrated circuits. In: International Symposium on Circuits and Systems, pp. 1702–1705 (2016)

    Google Scholar 

  18. Lodhi, F.K., Hasan, S.R., Hasan, O., Awwad, F.: Hardware Trojan detection in soft error tolerant macro synchronous micro asynchronous (MSMA) pipeline. In: Midwest Symposium on Circuits and Systems. pp. 659–662 (2014)

    Google Scholar 

  19. Lodhi, F., Hasan, S., Hasan, O., Awwad, F.: Formal analysis of macro synchronous micro asychronous pipeline for hardware Trojan detection. In: Nordic Circuits and Systems Conference & International Symposium on System-on-Chip, pp. 1–4. IEEE (2015)

    Google Scholar 

  20. MathSAT 5: (2016). http://mathsat.fbk.eu/

  21. Mitra, S., Wong, H.S.P., Wong, S.: The Trojan-proof chip. IEEE Spectr. 52(2), 46–51 (2015)

    Article  Google Scholar 

  22. Mukhopadhyay, D., Chakraborty, R.S.: Hardware Security: Design, Threats, and Safeguards. CRC (2014)

    Google Scholar 

  23. Ngo, X.T., Danger, J.L., Guilley, S., Najm, Z., Emery, O.: Hardware property checker for run-time hardware Trojan detection. In: 2015 European Conference on Circuit Theory and Design (ECCTD), pp. 1–4. IEEE (2015)

    Google Scholar 

  24. Qu, G., Yuan, L.: Design THINGS for the internet of things-an EDA perspective. In: International Conference on Computer-Aided Design (ICCAD), pp. 411–416. IEEE (2014)

    Google Scholar 

  25. Rabaey, J.M., Chandrakasan, A.P., Nikolic, B.: Digital Integrated Circuits, vol. 2. Prentice Hall (2002)

    Google Scholar 

  26. Rai, D., Lach, J.: Performance of delay-based trojan detection under parameter variations. In: Hardware-Oriented Security and Trust, pp. 58–65. IEEE (2009)

    Google Scholar 

  27. Rathmair, M., Schupfer, F.: Hardware Trojan detection by specifying malicious circuit properties. In: Electronics Information and Emergency Communication, pp. 317–320. IEEE (2013)

    Google Scholar 

  28. Tehranipoor, M., Koushanfar, F.: A survey of hardware trojan taxonomy and detection. IEEE Des. Test Comput. 27(1), 10–25 (2010)

    Article  Google Scholar 

  29. Wang, L., Xie, H., Luo, H.: Malicious circuitry detection using transient power analysis for IC security. In: Quality, Reliability, Risk, Maintenance, and Safety Engineering, pp. 1164–1167. IEEE (2013)

    Google Scholar 

  30. Wei, S., Meguerdichian, S., Potkonjak, M.: Malicious circuitry detection using thermal conditioning. IEEE Trans. Inf. Forensics Secur. 6(3), 1136–1145 (2011)

    Article  Google Scholar 

  31. Weste, N., Harris, D.: CMOS VLSI Design: A Circuits and Systems Perspective. Pearson (2011)

    Google Scholar 

  32. Xiao, K., Forte, D., Jin, Y., Karri, R., Bhunia, S., Tehranipoor, M.: Hardware Trojans: lessons learned after one decade of research. ACM Transactions on Design Automation of Electronic Systems 22(1), 1–23 (2016)

    Article  Google Scholar 

  33. Zhang, X., Tehranipoor, M.: Detecting hardware Trojans in third-party digital IP cores. In: Hardware-Oriented Security and Trust (HOST), pp. 67–70. IEEE (2011)

    Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Imran Hafeez Abbasi .

Editor information

Editors and Affiliations

Rights and permissions

Reprints and permissions

Copyright information

© 2017 Springer International Publishing AG

About this paper

Cite this paper

Abbasi, I.H., Lodhi, F.K., Kamboh, A.M., Hasan, O. (2017). Formal Verification of Gate-Level Multiple Side Channel Parameters to Detect Hardware Trojans. In: Artho, C., Ölveczky, P. (eds) Formal Techniques for Safety-Critical Systems. FTSCS 2016. Communications in Computer and Information Science, vol 694. Springer, Cham. https://doi.org/10.1007/978-3-319-53946-1_5

Download citation

  • DOI: https://doi.org/10.1007/978-3-319-53946-1_5

  • Published:

  • Publisher Name: Springer, Cham

  • Print ISBN: 978-3-319-53945-4

  • Online ISBN: 978-3-319-53946-1

  • eBook Packages: Computer ScienceComputer Science (R0)

Publish with us

Policies and ethics