Abstract
Design rule checking (DRC) clean is a fundamental chip manufacturing requirement. However, achieving this is increasingly challenging with the advance of semiconductor technology nodes and the increase of complicated design rules. To effectively mitigate DRC violations, early routability predictions are adopted in chip design flows for designers or tools to prevent violations in a proactive manner. In recent years, machine learning, especially deep learning (DL)-based routability estimators, have demonstrated their great potential in providing fast yet accurate predictions in early design stages. This chapter introduces representative and state-of-the-art DL-based methods for routability prediction in detail. After presenting the background on routability and relevant DL techniques, we emphasize the importance of global information and model receptive field, which motivates the adoption of DL models for routability predictions. After that, methodologies about data generation, feature engineering, model architecture design, and model construction are introduced. Finally, we cover existing explorations in the deployment of routability estimators and then summarize and share our point of view on the future of DL for routability prediction.
Keywords
This is a preview of subscription content, log in via an institution.
Buying options
Tax calculation will be finalised at checkout
Purchases are for personal use only
Learn about institutional subscriptionsReferences
Alawieh, M.B., Li, W., Lin, Y., Singhal, L., Iyer, M.A., Pan, D.Z.: High-definition routing congestion prediction for large-scale FPGAs. In: Asia and South Pacific Design Automation Conference (ASP-DAC) (2020)
Araujo, A., Norris, W., Sim, J.: Computing receptive fields of convolutional neural networks. Distill (2019) doi: 10.23915/distill.00021, https://distill.pub/2019/computing-receptive-fields
Bustany, I.S., Chinnery, D., Shinnerl, J.R., Yutsis, V.: ISPD 2015 benchmarks with fence regions and routing blockages for detailed-routing-driven placement. In: International Symposium on Physical Design (ISPD) (2015)
Chan, W.T.J., Du, Y., Kahng, A.B., Nath, S., Samadi, K.: BEOL stack-aware routability prediction from placement using data mining techniques. In: International Conference on Computer Design (ICCD) (2016)
Chan, W.T.J., Ho, P.H., Kahng, A.B., Saxena, P.: Routability optimization for industrial designs at sub-14nm process nodes using machine learning. In: International Symposium on Physical Design (ISPD) (2017)
Chang, C.C., Pan, J., Zhang, T., Xie, Z., Hu, J., Qi, W., Lin, C.W., Liang, R., Mitra, J., Fallon, E., Chen, Y.: Automatic routability predictor development using neural architecture search. In: International Conference on Computer-Aided Design (ICCAD) (2021)
Chen, J., Kuang, J., Zhao, G., Huang, D.J.H., Young, E.F.: PROS: A plug-in for routability optimization applied in the state-of-the-art commercial EDA tool using deep learning. In: International Conference On Computer Aided Design (ICCAD) (2020)
Chen, L.C., Papandreou, G., Schroff, F., Adam, H.: Rethinking atrous convolution for semantic image segmentation (2017). Preprint. arXiv:1706.05587
Ding, Y., Chu, C., Mak, W.K.: Pin accessibility-driven detailed placement refinement. In: International Symposium on Physical Design (ISPD) (2017)
He, K., Zhang, X., Ren, S., Sun, J.: Deep residual learning for image recognition. In: IEEE Conference on Computer Vision and Pattern Recognition (CVPR) (2016)
Huang, Y.H., Xie, Z., Fang, G.Q., Yu, T.C., Ren, H., Fang, S.Y., Chen, Y., Hu, J.: Routability-driven macro placement with embedded cnn-based prediction model. In: Design, Automation & Test in Europe Conference & Exhibition (DATE) (2019)
Hung, W.T., Huang, J.Y., Chou, Y.C., Tsai, C.H., Chao, M.: Transforming global routing report into DRC violation map with convolutional neural network. In: International Symposium on Physical Design (ISPD) (2020)
Kingma, D.P., Ba, J.: Adam: a method for stochastic optimization (2014). Preprint. arXiv:1412.6980
Kirby, R., Godil, S., Roy, R., Catanzaro, B.: CongestionNet: routing congestion prediction using deep graph neural networks. In: International Conference on Very Large Scale Integration (VLSI-SoC) (2019)
Liang, R., Xiang, H., Pandey, D., Reddy, L., Ramji, S., Nam, G.J., Hu, J.: DRC hotspot prediction at sub-10nm process nodes using customized convolutional network. In: International Symposium on Physical Design (ISPD) (2020)
Liu, S., Sun, Q., Liao, P., Lin, Y., Yu, B.: Global placement with deep learning-enabled explicit routability optimization. In: Design, Automation & Test in Europe Conference & Exhibition (DATE) (2021)
Long, J., Shelhamer, E., Darrell, T.: Fully convolutional networks for semantic segmentation. In: IEEE Conference on Computer Vision and Pattern Recognition (CVPR) (2015)
Maarouff, D., Shamli, A., Martin, T., Grewal, G., Areibi, S.: A deep-learning framework for predicting congestion during FPGA placement. In: International Conference on Field-Programmable Logic and Applications (FPL) (2020)
Mirza, M., Osindero, S.: Conditional generative adversarial nets (2014). Preprint. arXiv:1411.1784
Pan, J., Chang, C.C., Xie, Z., Li, A., Tang, M., Zhang, T., Hu, J., Chen, Y.: Towards collaborative intelligence: routability estimation based on decentralized private data. In: Design Automation Conference (DAC) (2022)
Pham, H., Guan, M., Zoph, B., Le, Q., Dean, J.: Efficient neural architecture search via parameters sharing. In: International Conference on Machine Learning (ICML) (2018)
Qu, T., Lin, Y., Lu, Z., Su, Y., Wei, Y.: Asynchronous reinforcement learning framework for net order exploration in detailed routing. In: Design, Automation & Test in Europe Conference & Exhibition (DATE) (2021)
Ronneberger, O., Fischer, P., Brox, T.: U-Net: Convolutional networks for biomedical image segmentation. In: International Conference on Medical Image Computing and Computer-Assisted Intervention (MICCAI) (2015)
Spindler, P., Johannes, F.M.: Fast and accurate routing demand estimation for efficient routability-driven placement. In: Design, Automation & Test in Europe Conference & Exhibition (DATE) (2007)
Tabrizi, A.F., Rakai, L., Darav, N.K., Bustany, I., Behjat, L., Xu, S., Kennings, A.: A machine learning framework to identify detailed routing short violations from a placed netlist. In: Design Automation Conference (DAC) (2018)
Tabrizi, A.F., Darav, N.K., Rakai, L., Bustany, I., Kennings, A., Behjat, L.: Eh? predictor: a deep learning framework to identify detailed routing short violations from a placed netlist. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. (TCAD) (2019)
Xie, Z., Huang, Y.H., Fang, G.Q., Ren, H., Fang, S.Y., Chen, Y., Hu, J.: RouteNet: routability prediction for mixed-size designs using convolutional neural network. In: International Conference on Computer-Aided Design (ICCAD) (2018)
Yu, F., Koltun, V.: Multi-scale context aggregation by dilated convolutions (2015). Preprint. arXiv:1511.07122
Yu, C., Zhang, Z.: Painting on placement: Forecasting routing congestion using conditional generative adversarial nets. In: Design Automation Conference (DAC) (2019)
Yu, T.C., Fang, S.Y., Chiu, H.S., Hu, K.S., Tai, P.H.Y., Shen, C.C.F., Sheng, H.: Pin accessibility prediction and optimization with deep learning-based pin pattern recognition. In: Design Automation Conference (DAC) (2019)
Zhou, Q., Wang, X., Qi, Z., Chen, Z., Zhou, Q., Cai, Y.: An accurate detailed routing routability prediction model in placement. In: Asia Symposium on Quality Electronic Design (ASQED) (2015)
Author information
Authors and Affiliations
Corresponding author
Editor information
Editors and Affiliations
Rights and permissions
Copyright information
© 2022 The Author(s), under exclusive license to Springer Nature Switzerland AG
About this chapter
Cite this chapter
Xie, Z., Pan, J., Chang, CC., Liang, R., Barboza, E.C., Chen, Y. (2022). Deep Learning for Routability. In: Ren, H., Hu, J. (eds) Machine Learning Applications in Electronic Design Automation. Springer, Cham. https://doi.org/10.1007/978-3-031-13074-8_2
Download citation
DOI: https://doi.org/10.1007/978-3-031-13074-8_2
Published:
Publisher Name: Springer, Cham
Print ISBN: 978-3-031-13073-1
Online ISBN: 978-3-031-13074-8
eBook Packages: Mathematics and StatisticsMathematics and Statistics (R0)